From cde27e806822be2f5cec82e1eb1980636d75bff3 Mon Sep 17 00:00:00 2001 From: Andrew Plotkin Date: Wed, 24 May 2023 16:44:25 -0400 Subject: [PATCH 01/33] Probably too much work to generate Origsource directives. --- .../Chapter 5/Compile Blocks and Lines.w | 42 +++++++++++++------ 1 file changed, 30 insertions(+), 12 deletions(-) diff --git a/inform7/imperative-module/Chapter 5/Compile Blocks and Lines.w b/inform7/imperative-module/Chapter 5/Compile Blocks and Lines.w index 754f1a604..7f29b236c 100644 --- a/inform7/imperative-module/Chapter 5/Compile Blocks and Lines.w +++ b/inform7/imperative-module/Chapter 5/Compile Blocks and Lines.w @@ -46,7 +46,8 @@ always hangs from a single top-level |CODE_BLOCK_NT|. = void CompileBlocksAndLines::full_definition_body(int statement_count, parse_node *body, int allow_implied_newlines) { - CompileBlocksAndLines::code_block(statement_count, body, TRUE, allow_implied_newlines); + source_location last_loc = Lexer::as_if_from_nowhere(); + CompileBlocksAndLines::code_block(statement_count, body, TRUE, allow_implied_newlines, &last_loc); } @ See //words: Nonterminals// for an explanation of what it means for a nonterminal @@ -57,7 +58,7 @@ most "likely" interpretation. = int CompileBlocksAndLines::code_block(int statement_count, parse_node *block, int top_level, - int allow_implied_newlines) { + int allow_implied_newlines, source_location *last_loc) { if (block) { if (Node::get_type(block) != CODE_BLOCK_NT) internal_error("not a code block"); int saved_mult = ->multiplicitous; @@ -68,7 +69,7 @@ int CompileBlocksAndLines::code_block(int statement_count, parse_node *block, in for (parse_node *p = block->down; p; p = p->next) statement_count = CompileBlocksAndLines::code_line(statement_count, p, singleton, - allow_implied_newlines); + allow_implied_newlines, last_loc); ->multiplicitous = saved_mult; } return statement_count; @@ -97,7 +98,7 @@ So, then, this is called on each child node of a |CODE_BLOCK_NT| in turn: = int CompileBlocksAndLines::code_line(int statement_count, parse_node *p, int as_singleton, - int allow_implied_newlines) { + int allow_implied_newlines, source_location *last_loc) { compiling_single_line_block = as_singleton; control_structure_phrase *csp = Node::get_control_structure_used(p); parse_node *to_compile = p; @@ -107,6 +108,7 @@ int CompileBlocksAndLines::code_line(int statement_count, parse_node *p, int as_ } statement_count++; @; + @; int L = EmitCode::level(); @; @; @@ -125,6 +127,22 @@ int CompileBlocksAndLines::code_line(int statement_count, parse_node *p, int as_ DISCARD_TEXT(C) } +@ = + source_location sl = Wordings::location(Node::get_text(to_compile)); + if (sl.file_of_origin) { + if (sl.file_of_origin != last_loc->file_of_origin || sl.line_number != last_loc->line_number) { + TEMPORARY_TEXT(C) + WRITE_TO(C, "[#### line %d", sl.line_number); + WRITE_TO(C, " of "); + //Filenames::writer(C, "%s", sl.file_of_origin->name); + WRITE_TO(C, "%S", sl.file_of_origin->name->leafname); + WRITE_TO(C, "]"); + EmitCode::comment(C); + DISCARD_TEXT(C) + *last_loc = sl; + } + } + @h Head code for lines. We divide the work of compiling the line into "head" code, "midriff" code and then "tail" code. For the head, there's usually nothing to do, except @@ -260,14 +278,14 @@ is false: EmitCode::down(); CodeBlocks::open_code_block(); statement_count = CompileBlocksAndLines::code_block(statement_count, - p->down->next, FALSE, allow_implied_newlines); + p->down->next, FALSE, allow_implied_newlines, last_loc); if (p->down->next->next) { EmitCode::up(); EmitCode::code(); EmitCode::down(); CodeBlocks::divide_code_block(); statement_count = CompileBlocksAndLines::code_block(statement_count, - p->down->next->next, FALSE, allow_implied_newlines); + p->down->next->next, FALSE, allow_implied_newlines, last_loc); } CodeBlocks::close_code_block(); EmitCode::up(); @@ -417,7 +435,7 @@ of |downs| is how many times we have called |Produce::down|. EmitCode::code(); EmitCode::down(); statement_count = CompileBlocksAndLines::code_block(statement_count, - ow_node, FALSE, allow_implied_newlines); + ow_node, FALSE, allow_implied_newlines, last_loc); if (final_flag == FALSE) { EmitCode::up(); EmitCode::code(); @@ -429,7 +447,7 @@ of |downs| is how many times we have called |Produce::down|. @ = statement_count = CompileBlocksAndLines::code_block(statement_count, ow_node, - FALSE, allow_implied_newlines); + FALSE, allow_implied_newlines, last_loc); @ = while (downs-- > 0) EmitCode::up(); @@ -452,7 +470,7 @@ of |downs| is how many times we have called |Produce::down|. EmitCode::code(); EmitCode::down(); statement_count = CompileBlocksAndLines::code_block(statement_count, - ow_node, FALSE, allow_implied_newlines); + ow_node, FALSE, allow_implied_newlines, last_loc); EmitCode::up(); EmitCode::up(); @@ -462,7 +480,7 @@ of |downs| is how many times we have called |Produce::down|. EmitCode::code(); EmitCode::down(); statement_count = CompileBlocksAndLines::code_block(statement_count, - ow_node, FALSE, allow_implied_newlines); + ow_node, FALSE, allow_implied_newlines, last_loc); EmitCode::up(); EmitCode::up(); @@ -522,7 +540,7 @@ inline definitions for "say if" and similar. @ = statement_count = CompileBlocksAndLines::code_block(statement_count, p, - FALSE, allow_implied_newlines); + FALSE, allow_implied_newlines, last_loc); TEMPORARY_TEXT(SAYL) WRITE_TO(SAYL, "."); @@ -546,7 +564,7 @@ inline definitions for "say if" and similar. @ = CodeBlocks::open_code_block(); statement_count = CompileBlocksAndLines::code_block(statement_count, p->down->next, - FALSE, allow_implied_newlines); + FALSE, allow_implied_newlines, last_loc); while (EmitCode::level() > L) EmitCode::up(); CodeBlocks::close_code_block(); From e2d7e250458accefa3dfc71290f7f83161549b33 Mon Sep 17 00:00:00 2001 From: Andrew Plotkin Date: Wed, 24 May 2023 17:36:02 -0400 Subject: [PATCH 02/33] Plumbing for the OrigSource construct. --- .../Chapter 5/Compile Blocks and Lines.w | 1 + inform7/runtime-module/Chapter 2/Emit Code.w | 8 ++ .../Chapter 3/Inter Constructs.w | 6 ++ .../Chapter 4/The OrigSource Construct.w | 102 ++++++++++++++++++ .../Chapter 5/The Code Construct.w | 1 + inter/bytecode-module/Contents.w | 1 + 6 files changed, 119 insertions(+) create mode 100644 inter/bytecode-module/Chapter 4/The OrigSource Construct.w diff --git a/inform7/imperative-module/Chapter 5/Compile Blocks and Lines.w b/inform7/imperative-module/Chapter 5/Compile Blocks and Lines.w index 7f29b236c..b7a74ed11 100644 --- a/inform7/imperative-module/Chapter 5/Compile Blocks and Lines.w +++ b/inform7/imperative-module/Chapter 5/Compile Blocks and Lines.w @@ -140,6 +140,7 @@ int CompileBlocksAndLines::code_line(int statement_count, parse_node *p, int as_ EmitCode::comment(C); DISCARD_TEXT(C) *last_loc = sl; + EmitCode::origsource(last_loc); } } diff --git a/inform7/runtime-module/Chapter 2/Emit Code.w b/inform7/runtime-module/Chapter 2/Emit Code.w index e9891e473..87d95c7d9 100644 --- a/inform7/runtime-module/Chapter 2/Emit Code.w +++ b/inform7/runtime-module/Chapter 2/Emit Code.w @@ -70,6 +70,14 @@ void EmitCode::comment(text_stream *text) { (inter_ti) EmitCode::level())); } +@h OrigSource directives. + += +void EmitCode::origsource(source_location *sl) { + Produce::guard(OrigSourceInstruction::new(EmitCode::at(), sl->file_of_origin->name, (unsigned int)sl->line_number, NULL, + (inter_ti) EmitCode::level())); +} + @h In value context. These functions all generate a |val| opcode: diff --git a/inter/bytecode-module/Chapter 3/Inter Constructs.w b/inter/bytecode-module/Chapter 3/Inter Constructs.w index 3578f974a..18b4a062c 100644 --- a/inter/bytecode-module/Chapter 3/Inter Constructs.w +++ b/inter/bytecode-module/Chapter 3/Inter Constructs.w @@ -334,6 +334,11 @@ instead specify something else about the tree: @e SOCKET_IST @e VERSION_IST +@ This can go inside or outside function bodies, like COMMENT_IST. I put it +at the end so that it wouldn't change //The Inter Version//. + +@e ORIGSOURCE_IST + @ Since these IDs are stored in the bytecode for an instruction, in fact in the 0th word of the frame, we will need to convert them to their //inter_construct// equivalents quickly. So we store a lookup table: @@ -380,6 +385,7 @@ void InterInstruction::create_language(void) { InterInstruction::define_invalid_construct(); NopInstruction::define_construct(); CommentInstruction::define_construct(); + OrigSourceInstruction::define_construct(); PlugInstruction::define_construct(); SocketInstruction::define_construct(); VersionInstruction::define_construct(); diff --git a/inter/bytecode-module/Chapter 4/The OrigSource Construct.w b/inter/bytecode-module/Chapter 4/The OrigSource Construct.w new file mode 100644 index 000000000..8b98aafe2 --- /dev/null +++ b/inter/bytecode-module/Chapter 4/The OrigSource Construct.w @@ -0,0 +1,102 @@ +[OrigSourceInstruction::] The OrigSource Construct. + +Defining the OrigSource construct. + +@h Definition. +The OrigSource construct is a marker in the bytecode which indicates the +source location that generated that bytecode. + += +void OrigSourceInstruction::define_construct(void) { + inter_construct *IC = InterInstruction::create_construct(ORIGSOURCE_IST, I"origsource"); + InterInstruction::specify_syntax(IC, I"origsource TEXT NUMBER"); + InterInstruction::data_extent_always(IC, 2); + METHOD_ADD(IC, CONSTRUCT_TRANSPOSE_MTID, OrigSourceInstruction::transpose); + METHOD_ADD(IC, CONSTRUCT_VERIFY_MTID, OrigSourceInstruction::verify); + METHOD_ADD(IC, CONSTRUCT_READ_MTID, OrigSourceInstruction::read); + METHOD_ADD(IC, CONSTRUCT_WRITE_MTID, OrigSourceInstruction::write); + InterInstruction::allow_in_depth_range(IC, 0, INFINITELY_DEEP); + InterInstruction::permit(IC, OUTSIDE_OF_PACKAGES_ICUP); + InterInstruction::permit(IC, INSIDE_PLAIN_PACKAGE_ICUP); + InterInstruction::permit(IC, INSIDE_CODE_PACKAGE_ICUP); +} + +@h Instructions. +In bytecode, the frame of an |origsource| instruction is laid out with the +compulsory words -- see //Inter Nodes// -- followed by: + +@d PROVENANCEFILE_ORIGSOURCE_IFLD (DATA_IFLD + 0) +@d PROVENANCELINE_ORIGSOURCE_IFLD (DATA_IFLD + 1) + += +inter_error_message *OrigSourceInstruction::new(inter_bookmark *IBM, + filename *file, inter_ti line_number, + inter_error_location *eloc, inter_ti level) { + TEMPORARY_TEXT(file_as_text) + if (file) WRITE_TO(file_as_text, "%f", file); + inter_warehouse *warehouse = InterBookmark::warehouse(IBM); + inter_package *pack = InterBookmark::package(IBM); + inter_ti FID = InterWarehouse::create_text(warehouse, pack); + Str::copy(InterWarehouse::get_text(warehouse, FID), file_as_text); + inter_tree_node *P = Inode::new_with_2_data_fields(IBM, ORIGSOURCE_IST, + /* PROVENANCEFILE_ORIGSOURCE_IFLD: */ FID, + /* PROVENANCELINE_ORIGSOURCE_IFLD: */ line_number, + eloc, level); + inter_error_message *E = VerifyingInter::instruction(InterBookmark::package(IBM), P); + if (E) return E; + NodePlacement::move_to_moving_bookmark(P, IBM); + return NULL; +} + +void OrigSourceInstruction::transpose(inter_construct *IC, inter_tree_node *P, + inter_ti *grid, inter_ti grid_extent, inter_error_message **E) { + P->W.instruction[PROVENANCEFILE_ORIGSOURCE_IFLD] = grid[P->W.instruction[PROVENANCEFILE_ORIGSOURCE_IFLD]]; +} + +@ Verification consists only of sanity checks. + += +void OrigSourceInstruction::verify(inter_construct *IC, inter_tree_node *P, + inter_package *owner, inter_error_message **E) { + *E = VerifyingInter::text_field(owner, P, PROVENANCEFILE_ORIGSOURCE_IFLD); + if (*E) return; +} + +@h Creating from textual Inter syntax. + += +void OrigSourceInstruction::read(inter_construct *IC, inter_bookmark *IBM, inter_line_parse *ilp, + inter_error_location *eloc, inter_error_message **E) { + text_stream *fn = ilp->mr.exp[0]; + text_stream *lc = ilp->mr.exp[1]; + TEMPORARY_TEXT(file_as_text) + *E = TextualInter::parse_literal_text(file_as_text, fn, 0, Str::len(fn), eloc); + if (*E == NULL) { + filename *F = NULL; + if (Str::len(file_as_text) > 0) F = Filenames::from_text(file_as_text); + inter_ti line_number = 0; + if (Str::len(lc) > 0) line_number = (inter_ti) Str::atoi(lc, 0); + *E = OrigSourceInstruction::new(IBM, F, line_number, + eloc, (inter_ti) ilp->indent_level); + } + DISCARD_TEXT(file_as_text) +} + +@h Writing to textual Inter syntax. + += +void OrigSourceInstruction::write(inter_construct *IC, OUTPUT_STREAM, inter_tree_node *P) { + WRITE("origsource "); + Provenance::write(OUT, OrigSourceInstruction::provenance(P)); +} + +@h Access functions. + += +text_provenance OrigSourceInstruction::provenance(inter_tree_node *P) { + if (P == NULL) return Provenance::nowhere(); + if (Inode::isnt(P, ORIGSOURCE_IST)) return Provenance::nowhere(); + return Provenance::at_file_and_line( + Inode::ID_to_text(P, P->W.instruction[PROVENANCEFILE_ORIGSOURCE_IFLD]), + (int) P->W.instruction[PROVENANCELINE_ORIGSOURCE_IFLD]); +} diff --git a/inter/bytecode-module/Chapter 5/The Code Construct.w b/inter/bytecode-module/Chapter 5/The Code Construct.w index 2b832b1b9..3e8c898d3 100644 --- a/inter/bytecode-module/Chapter 5/The Code Construct.w +++ b/inter/bytecode-module/Chapter 5/The Code Construct.w @@ -45,6 +45,7 @@ void CodeInstruction::verify_children(inter_construct *IC, inter_tree_node *P, (C->W.instruction[0] != LABEL_IST) && (C->W.instruction[0] != VAL_IST) && (C->W.instruction[0] != COMMENT_IST) && + (C->W.instruction[0] != ORIGSOURCE_IST) && (C->W.instruction[0] != NOP_IST)) { *E = Inode::error(C, I"only executable matter can be below a code", NULL); return; diff --git a/inter/bytecode-module/Contents.w b/inter/bytecode-module/Contents.w index 3009e0f88..7b9534a14 100644 --- a/inter/bytecode-module/Contents.w +++ b/inter/bytecode-module/Contents.w @@ -42,6 +42,7 @@ Chapter 4: Void Constructs The Insert Construct The Instance Construct The Nop Construct + The OrigSource Construct The Package Construct The PackageType Construct The Permission Construct From e3c519c23e773620884ec5df60597a96de1c34f9 Mon Sep 17 00:00:00 2001 From: Andrew Plotkin Date: Wed, 24 May 2023 18:30:25 -0400 Subject: [PATCH 03/33] OrigSource directives for I6. --- inter/final-module/Chapter 2/Code Generators.w | 11 +++++++++++ inter/final-module/Chapter 2/Vanilla Code.w | 9 +++++++++ inter/final-module/Chapter 2/Vanilla.w | 1 + inter/final-module/Chapter 4/Inform 6 Code.w | 17 +++++++++++++++++ 4 files changed, 38 insertions(+) diff --git a/inter/final-module/Chapter 2/Code Generators.w b/inter/final-module/Chapter 2/Code Generators.w index 1146d78c0..8d3621992 100644 --- a/inter/final-module/Chapter 2/Code Generators.w +++ b/inter/final-module/Chapter 2/Code Generators.w @@ -245,6 +245,17 @@ void Generators::evaluate_label(code_generation *gen, text_stream *label_name) { VOID_METHOD_CALL(gen->generator, EVALUATE_LABEL_MTID, gen, label_name); } +@ OrigSource directives + +@e ORIGSOURCE_MTID + += +VOID_METHOD_TYPE(ORIGSOURCE_MTID, code_generator *generator, code_generation *gen, + text_provenance *source_loc) +void Generators::origsource(code_generation *gen, text_provenance *source_loc) { + VOID_METHOD_CALL(gen->generator, ORIGSOURCE_MTID, gen, source_loc); +} + @ The three ways to invoke (and a doohickey for assembly opcodes): @e INVOKE_PRIMITIVE_MTID diff --git a/inter/final-module/Chapter 2/Vanilla Code.w b/inter/final-module/Chapter 2/Vanilla Code.w index 8c247afea..701ea4cbc 100644 --- a/inter/final-module/Chapter 2/Vanilla Code.w +++ b/inter/final-module/Chapter 2/Vanilla Code.w @@ -144,3 +144,12 @@ void VanillaCode::assembly(code_generation *gen, inter_tree_node *P) { inter_ti which = AssemblyInstruction::which_marker(P); Generators::assembly_marker(gen, which); } + +@ OrigSource directives are passed through to the generator. + += +void VanillaCode::origsource(code_generation *gen, inter_tree_node *P) { + text_provenance prov = OrigSourceInstruction::provenance(P); + Generators::origsource(gen, &prov); +} + diff --git a/inter/final-module/Chapter 2/Vanilla.w b/inter/final-module/Chapter 2/Vanilla.w index b5ced26af..d81fe03b5 100644 --- a/inter/final-module/Chapter 2/Vanilla.w +++ b/inter/final-module/Chapter 2/Vanilla.w @@ -112,6 +112,7 @@ void Vanilla::node(code_generation *gen, inter_tree_node *P) { case LOCAL_IST: break; case NOP_IST: break; case COMMENT_IST: break; + case ORIGSOURCE_IST: VanillaCode::origsource(gen, P); break; case INVALID_IST: InterErrors::backtrace(DL, P); internal_error("INVALID node type in Inter tree"); diff --git a/inter/final-module/Chapter 4/Inform 6 Code.w b/inter/final-module/Chapter 4/Inform 6 Code.w index fe2727130..67fcbcdaf 100644 --- a/inter/final-module/Chapter 4/Inform 6 Code.w +++ b/inter/final-module/Chapter 4/Inform 6 Code.w @@ -7,6 +7,7 @@ void I6TargetCode::create_generator(code_generator *gtr) { METHOD_ADD(gtr, DECLARE_FUNCTION_MTID, I6TargetCode::declare_function); METHOD_ADD(gtr, PLACE_LABEL_MTID, I6TargetCode::place_label); METHOD_ADD(gtr, EVALUATE_LABEL_MTID, I6TargetCode::evaluate_label); + METHOD_ADD(gtr, ORIGSOURCE_MTID, I6TargetCode::origsource); METHOD_ADD(gtr, INVOKE_PRIMITIVE_MTID, I6TargetCode::invoke_primitive); METHOD_ADD(gtr, INVOKE_FUNCTION_MTID, I6TargetCode::invoke_function); METHOD_ADD(gtr, INVOKE_OPCODE_MTID, I6TargetCode::invoke_opcode); @@ -176,6 +177,22 @@ void I6TargetCode::evaluate_label(code_generator *gtr, code_generation *gen, PUT(Str::get(pos)); } +@h Origsource references. += +void I6TargetCode::origsource(code_generator *gtr, code_generation *gen, + text_provenance *source_loc) { + text_stream *OUT = CodeGen::current(gen); + if (source_loc->textual_filename) { + WRITE("#OrigSource "); + /* We use compile_literal_text() to avoid literal quotes in the filename. This will probably cause trouble but the I6 will be valid. */ + Generators::compile_literal_text(gen, source_loc->textual_filename, TRUE); + WRITE(" %d;\n", source_loc->line_number); + } + else { + WRITE("#OrigSource;\n"); + } +} + @h Function invocations. Or in other words, function calls. These are easy: the syntax is exactly what it would be for C. From fd927245cdc3839eb68b06e98a3b1559e763a295 Mon Sep 17 00:00:00 2001 From: Andrew Plotkin Date: Wed, 24 May 2023 18:38:27 -0400 Subject: [PATCH 04/33] Clean up OrigSource at the end of a function. --- .../imperative-module/Chapter 5/Compile Blocks and Lines.w | 4 ++++ inform7/runtime-module/Chapter 2/Emit Code.w | 5 ++++- inter/final-module/Chapter 4/Inform 6 Code.w | 2 +- 3 files changed, 9 insertions(+), 2 deletions(-) diff --git a/inform7/imperative-module/Chapter 5/Compile Blocks and Lines.w b/inform7/imperative-module/Chapter 5/Compile Blocks and Lines.w index b7a74ed11..32662f605 100644 --- a/inform7/imperative-module/Chapter 5/Compile Blocks and Lines.w +++ b/inform7/imperative-module/Chapter 5/Compile Blocks and Lines.w @@ -48,6 +48,10 @@ void CompileBlocksAndLines::full_definition_body(int statement_count, parse_node int allow_implied_newlines) { source_location last_loc = Lexer::as_if_from_nowhere(); CompileBlocksAndLines::code_block(statement_count, body, TRUE, allow_implied_newlines, &last_loc); + if (last_loc.file_of_origin) { + last_loc = Lexer::as_if_from_nowhere(); + EmitCode::origsource(&last_loc); + } } @ See //words: Nonterminals// for an explanation of what it means for a nonterminal diff --git a/inform7/runtime-module/Chapter 2/Emit Code.w b/inform7/runtime-module/Chapter 2/Emit Code.w index 87d95c7d9..e75d23017 100644 --- a/inform7/runtime-module/Chapter 2/Emit Code.w +++ b/inform7/runtime-module/Chapter 2/Emit Code.w @@ -74,7 +74,10 @@ void EmitCode::comment(text_stream *text) { = void EmitCode::origsource(source_location *sl) { - Produce::guard(OrigSourceInstruction::new(EmitCode::at(), sl->file_of_origin->name, (unsigned int)sl->line_number, NULL, + filename *name = NULL; + if (sl->file_of_origin) + name = sl->file_of_origin->name; + Produce::guard(OrigSourceInstruction::new(EmitCode::at(), name, (unsigned int)sl->line_number, NULL, (inter_ti) EmitCode::level())); } diff --git a/inter/final-module/Chapter 4/Inform 6 Code.w b/inter/final-module/Chapter 4/Inform 6 Code.w index 67fcbcdaf..9d1d129c9 100644 --- a/inter/final-module/Chapter 4/Inform 6 Code.w +++ b/inter/final-module/Chapter 4/Inform 6 Code.w @@ -182,7 +182,7 @@ void I6TargetCode::evaluate_label(code_generator *gtr, code_generation *gen, void I6TargetCode::origsource(code_generator *gtr, code_generation *gen, text_provenance *source_loc) { text_stream *OUT = CodeGen::current(gen); - if (source_loc->textual_filename) { + if (source_loc->textual_filename && Str::len(source_loc->textual_filename) > 0) { WRITE("#OrigSource "); /* We use compile_literal_text() to avoid literal quotes in the filename. This will probably cause trouble but the I6 will be valid. */ Generators::compile_literal_text(gen, source_loc->textual_filename, TRUE); From b901f9c3913a6644db90f50384397d188eff137e Mon Sep 17 00:00:00 2001 From: Andrew Plotkin Date: Thu, 25 May 2023 11:02:32 -0400 Subject: [PATCH 05/33] Guess we're bumping the Inter version. --- inter/bytecode-module/Chapter 1/The Inter Version.w | 4 +++- inter/bytecode-module/Chapter 3/Inter Constructs.w | 6 +----- 2 files changed, 4 insertions(+), 6 deletions(-) diff --git a/inter/bytecode-module/Chapter 1/The Inter Version.w b/inter/bytecode-module/Chapter 1/The Inter Version.w index 2dbda626a..ee89d5609 100644 --- a/inter/bytecode-module/Chapter 1/The Inter Version.w +++ b/inter/bytecode-module/Chapter 1/The Inter Version.w @@ -58,11 +58,13 @@ in Inform kits. 5.0.0 (24 April 2023) added (further) new fields to |SPLAT_IST| instructions, to record their provenance and so make better error reporting possible. +6.0.0 (25 May 2023) added the |ORIGSOURCE_IST| instruction. + @ Anyway, the implementation, such as it is: = semantic_version_number InterVersion::current(void) { - semantic_version_number V = VersionNumbers::from_text(I"5.0.0"); + semantic_version_number V = VersionNumbers::from_text(I"6.0.0"); if (VersionNumbers::is_null(V)) internal_error("malformed version number"); return V; } diff --git a/inter/bytecode-module/Chapter 3/Inter Constructs.w b/inter/bytecode-module/Chapter 3/Inter Constructs.w index 18b4a062c..140ddb528 100644 --- a/inter/bytecode-module/Chapter 3/Inter Constructs.w +++ b/inter/bytecode-module/Chapter 3/Inter Constructs.w @@ -302,6 +302,7 @@ These are constructs used for instructions outside function bodies: @e INSTANCE_IST @e INSERT_IST @e NOP_IST +@e ORIGSOURCE_IST @e PACKAGE_IST @e PACKAGETYPE_IST @e PERMISSION_IST @@ -334,11 +335,6 @@ instead specify something else about the tree: @e SOCKET_IST @e VERSION_IST -@ This can go inside or outside function bodies, like COMMENT_IST. I put it -at the end so that it wouldn't change //The Inter Version//. - -@e ORIGSOURCE_IST - @ Since these IDs are stored in the bytecode for an instruction, in fact in the 0th word of the frame, we will need to convert them to their //inter_construct// equivalents quickly. So we store a lookup table: From 865c511c4694ecc9fcbbe1021ed7064020bce202 Mon Sep 17 00:00:00 2001 From: Andrew Plotkin Date: Thu, 25 May 2023 11:11:53 -0400 Subject: [PATCH 06/33] Inter version change, rebuild the .interb files. --- .../Internal/Inter/DialogueKit/arch-16.interb | Bin 119657 -> 119657 bytes .../Inter/DialogueKit/arch-16d.interb | Bin 119678 -> 119678 bytes .../Internal/Inter/DialogueKit/arch-32.interb | Bin 119821 -> 119821 bytes .../Inter/DialogueKit/arch-32d.interb | Bin 119842 -> 119842 bytes .../Inter/EnglishLanguageKit/arch-16.interb | Bin 26604 -> 26604 bytes .../Inter/EnglishLanguageKit/arch-16d.interb | Bin 26625 -> 26625 bytes .../Inter/EnglishLanguageKit/arch-32.interb | Bin 26610 -> 26610 bytes .../Inter/EnglishLanguageKit/arch-32d.interb | Bin 26631 -> 26631 bytes 8 files changed, 0 insertions(+), 0 deletions(-) diff --git a/inform7/Internal/Inter/DialogueKit/arch-16.interb b/inform7/Internal/Inter/DialogueKit/arch-16.interb index 7145bffa51250fd0c242d8625cec9c8f1ae392d1..5634b2e9c8b3b57440d1634b2fa6396970454f91 100644 GIT binary patch literal 119657 zcmdSC2Yg(`wLgCEdTmQ`qZu$@SQ}&H2DUNH4P;w30$CE0Y)oBN(#l>VYlT*_ag1SS zAV3n*6Vf|@^xk{#J$ZR~d3lL2ZuH(E{J-Z+xpVK{T?sjP@Bi}$bni|%bLN~g=bV{2 zGdI^e(C?{#C#BPwLLs*;*OM8@cKHeX?#^73O=mg>a`|3=OjSCa@7S8{9PpFX z>2zOzZad2PWAT1XHrto$-RxJRNT#nZ+uJp!W@+Q|eX519O>F>|%OlP{f`m=>XVmwkd_vAY= zJ?VURcOg5Fs6qn@o%A)i-mY|Kez12S+n?~{@8loHB*%^$UsYW*VdA98Qw}@)h$D}h zdh{{JPCM@S6Q-YdQfkJ`lV_bW`_$SwbLY)paN6k$7cD+x$(d)Jea^W{mn~nh^1Sow zR;^xBzjoaP4HsV2xW1{m<>J=1_6-{^*>ve;mtS$^Rq3lU9i3g-?#)|rTd(Qa)|>CU zw!bhixP8ZUJ72Zy`rS9&SS%JrQ5J71-ducj@s{GP#oLOnDZaM&y5jA{*B9SVd}HxV z#WxqQ7C%+|bn!FA&lW#d{Cx2X#V;1WRQz)BE5)xCzgGNu@f*c&7Qa>ecJVvK z?-svT{C@EV#UB=bRQz%AC&ix@e^&f?@fXEk7JpUzb@4aF-xhyY{C)8c#XlDRRQ!+P zpNs!l{7dn_ihnKsckyq<|0({x_>baH@t)$I;=RSa#eK#7#RJ8I#rul)7au4-SbV7X zaPg7iqs7OHj~AaPK3ROK_;m4^;26;sVhiE)*AuMzLNriDuCvE*7n#O|***Vxzc3Y!a7>%f#j43UQ^lN~Fcr zA|pCPr|1${(JeNMEg~njifcrV*d}^KUi67;MZYMB0Wm1Hiyh)Ru~WQC>=M_D-Qosu zqbLd?q_|1kEM6^c5x0ul#B0QB#p}fF;`QPU;*H`>;?3eM;;rIs;_c!N@ec7$@h@d5Ec@gebH@ey&S_^7x`+$}yPJ}y2XJ}EvWJ}o{YJ}W*aJ}eky(@elC6?ekpz>el30@ zek*<_elPwY{wV$={zLp({HOSf_%HES@!#Ta;(x^7#XrQ5xJT>}_lmt@pV%)Bh=bxj zald##JSZL#4~s{{qvA2~xOhT5DV`Efi)X~M;yLlWctN}vER?8YWK~9vD8z<#L5wDbJJV z%R0GAu9jj#{I2|-{J#8w{Gt4j{IUFr{Hgqz{JH#v{H6Sr z{I&dz{4EsZ@8uuHB!)b1?2Nvi!Gd>>=Z%}OE!T_JJ)SpV#$a!zf2aC=FJ%qnwq*<6 zUe6mpqbs*P*Om45(fhW1*I-ZH+fP+GasxYZg>0s`%RAtCHRgA|-#h4J_vQz@`{;Ke zd+lJhcOaMP@$UD$BW6HzQ=3lm1JnWxMOS{C_aGrr7|8c$y@xz+(u}_TY_7MnKf4Ve zyoWt+@{GQGVIW9)L>KDHnnI77LP64F)Br3AF!vt!yy_X9J=sh@{d$54Gg#Q-J*nP$ zdc3EobWc8i&0wGRH1$BeckCRli*x%p|c1bRcmltM^+U(n?!&UyLROJ72VFU1q%Z}QE}p2qHIV0 zItI0rMXoQ?iP1!zTE;}^=J(}e3aWeOQTKL0 z5Y$fq95bUU&(P|q*>26mRfMeal_0f!TwpaJ8>A`x))2ss{%qzNE>q8f2HHY1s3z8O z2X^EJI=66%bwvHnjK=2z&pXUzoXu#UzQJY63~JH1kl^%Z2L}6<1YAULwhnGnxHZzR zYX>nBiAd|IGMqpj{*=%}-GR%O>xVD8a61=jcGDKAv=%pQu}ZtxO*=!SwYq6bR9c&x zcBV>eCuyOcH)#ncUFs&C$w`+H1E6{fna$aSoN~F7 zqT0K{Nm0#R>7=OEt|EPyy^cpzPiF?|jOdsKx6|;v^{~4dREHN0&GLCDTbTQv*BuvW2DN z{Hm7z%;s&G!qV~ngqD8HK4{1nmR2Q(fOMuGDsKR69_)vufSH=G1|}oFc`$nsqyx69 zrZbO8Mki#WfcBC=nvtxb+Ete{x2|bxxU8NkCYv@iHd2j=l$ySvVci9*8rnUWw<9>A zseV&?d$UR23rTKR-_qK=s%}+deR^YE&c}K3V+mvo;Zfj_7*jS%#+OU3AeJk0& zBiA=HrJL&4)w$`ggA?29TG!RLr!QOGyr!Nk;rQ14Acj+xtl+q2o=u@s$?9ZHxUUnb z1J#CnY@w^s)xtog7ght^7{_*|Kpm*wp6Sn#Vgv~$C9A!OE|DhtC$HmqQ%a4Oe1AGu z;3?hqY-&ZSI|F61tY(UTPOcZ~V%yoLH_$AqH`AkDPIu^idK(ChIa>jVH1zWQr{&HX zILtNxY00KB)gw5W-p;I=lA)1>%W4iEeFI1MEtjWEi*>+&rk%@bs$=^z&_76dl~B2I zSLHm}=IpC(CcBq?m$uLz*U_vS)K-J6J>Qq>Y{}<)QVSNWOx2ced)}fs%W9^LzUAZm z^8%{YZ|fV_*$6GrHpl~{5uOrwT7ndCTBxK8+Rh{cj)l!KS)WV#UvWMY}g>zDD zkCD2&+BRRl=>?0H=2il`1q7q=!>-hZ(7+G zj%N?y=Wu65dAtJl5CdYlLp%ZL!yGAFlDG$Us^+(@$SvJkNjSDbIH+_it+$rr&sH8{ z)w|(i_1+Un=!2*0@Mgs+mHxZLts+M#mt=(#z|zv=XVo9s(%&-P{uY(olt z7z5eg(FuC|N5KrNxv- zwkn$$SewIoben3cwyR?fDKp)SQan6JjYmZ_>N+tarS*d>%Fj<>POvlEk3l(mHY=)X zD9HeP-S!N22vpg!Wjf&IGAz=KD78xgGH_aymq=|BhM)!g>(|~JUZLmInEe-#0Ob9D zkOaF^G}mY1y+U~ibF4CZ!)0T+8!i&h+={#nSPRbLzy826K4tWyZMH8zh|y;B&YFf+ zIKmChP3hKPfOTon4W>Ox8hH@d%tD_w`Lkz3;gy-lkWZjR`4zB3*+fH~f6H)d&Guxs zV`fNW$zVwSg&MT+gRNXA*#z@w)GVTR8ji1IJgPpgYtaj!!OVel7YzP<@Mk_oB-WNP zz1jR=p{yc_7u^zt5;te4HG1V=Ob8{x73t@Q_o<^7?^=Jho%hvktZQhjTh-9m(0*xp z&8qeF?R9JF+Uu0fn&Y4ON~Z55g9Vzq&-G8X4Ybxb)^Dt9T3sK8zGzv^yir1*@6WK% zv6Ql|wQhYFHYQXHMhW{gf0l*a-cY|L-L|^EDT4YL%W6&^CHRFQ!d$$ep|zoDT^RW# z%W4*l68d7l_?f^-Aa1P74zzW`Pr$H9b>MebW+0;-1dQp_a-NNlH;o@FQzgy-MvwML z$$vHgARFyur<=QtAH6+2u|z*tP6=@I<_@5nXHSq>YQWK^71a}T9x>HS|a;WEN7jOgT$ z-&$WfEYJ}>Wg|~cDQV;2uCU#Pq~%bOQMb8{`)d|FXd=kdyJ}3Ik1XhK(SK@|>x24yG{^ zOAVHqA!mp;LW0@k*`pM~bNu2XVIg#<0wjOBT{NrQpI4$<^z;OEEm2anWfjaEa5;{$ zx@ild5?wW2EK&7u+X+m(&mASHmipqQFsXt$5D{u1pWd-0zs-sYMy+l3717Q(QB3dQ z93s7Vp6%i}8!w&RWeO@*;Uw%_L)L!x$Q>L&+!&qI@=!4#8PAO2Jv~&(!-V z6eU*}FdH7(t~5~vIshhk#VCQV^o#ovcnfZV`)PPc?#@}BBhL{5FdH7B-D|)~S z_|%OOpH+VGFYySq=ZRx|w0H7<#Sg#qRIyUn z-K)_Vsgrw%ay$${SbB}GZ1=wAr`VZcIjJ8dP1gD;v$Lk=u7m~$c+7Xiq-{*&44hiLT}T~Sx{gk11F5Q8aEB2MEXKsZVIq=7AOdBgqLhV z7t&o>+WCiB8>Ntt(co~JbeG=GR30gZ?WATdSdh{Uqlwha`KeljAM_3w{*&@(Ld5bM zpfo=<^QxJ^0#@Xbe#9dTXOOKOpP%AgwOEY^2C&jH%xOWu8O8yPLUtBRIw4}_hm=pt zvZ>k{3+;L#mkFuLh{?4G6&d6)% z-q361R!JtY%1A{iJxFWCF}!fs z_R1P)#KJT$+_gImw6)aNU4)70ngDi+{y{vK)od7L0~?(N8k*YcTN|5KZ)k50wX!0G z1-wf}*}x{J0ra4L^#vszKo78lcj+h_xXf*!{Zd46MF~VC!Ybb7qio;`r-AkLO|%}s zi-ay4R;12^-!RGsu5ucv<9&^`l0K|RowckcJ<0~Ib{bgQShubeJdx<^Wi^>mHqhbM z+Ev=7y4F@+G)YraZbw*9J4e|>m)is{&8(_xjA;U*njK{m-TtYz7v*NQYP4-0WeZ#U z;;#awOrsnP4j_0t)zy<4K;S0UhI+H1Md2R3U&V{UJ&{G>#)hW)wqQ}1AVBpQ7{E2M zY{Pm5>awc`#mvt8>U5Y7@JqR&4u8q=#6!4R=c5lPpM5^%r&>aPVPZo{r}=KwSjWUhd)6&z1V6*vtJtcqmm zH3Atd3Y#qsYr^_Q*94Ias1*cX6OCGe_ghZc#;&+?qy!CK zd(5R4VAw;|G1qGL$McWC5$Ap|*|b94MGm*)sk;SiRMKjkkr>F<28;Zp1*@&<ou6vv_+1KvBOp%9hr5XoY9SxSdqyn-6Vx=*iZB#*e zv9-ApA;%SY?^7>O1bYzJ<%EQ-NG*VqTg^YTc!iQ!$WV&5MNJGKBC2xBR{*pM0_43R zM+(DeaztP(lJir!`3Tw43R&^rk#Y+ARVyZKGyv=-+#;=E@CAd{ZBE7Xt2K2NwrUU-%OWgGTt$IjPIKd#bLY-Y z<+kB$9>tdJO!eew}_DO8QxbgH0D)X|KlbSj2fa7rBlbc!;ud19TT__%)&HxHSG z3cyGxdEJ5qiXl{(C;B$n*lcHTJ`tAw4-*9`I>B-tK38FsI)A{w>CKML4+*P5CL*hW z*gJY12vcvuQXQz==3XprU?EDQJRbuW4r(Bv;Is2%UTP8MHA+OxIO6@EARI_3E4%&U zj-N_!&oN*%BBb?A3%vZ&m?;c!+-6U{a}Z}--~zgud>FJbpHx^I@K*7jLpw!Hh_0j9yZhBX%SQGQs-{gQFbG z+x?;p26K4Cq`LdDp*@ffMlWQ71R*eDM*C95jX5Z7wbe=$v5c1|1*bI+gAO)hof1$* zJ4T89b^a|_=Q9GYmk}E=_l)@WDRm=B8lJcrW>~n-_UjRwqGRDWr$)>Awr{$xwU|d@ z#Ot#O_z{k#vJ+=LX{@Q%i}5il{!~_lGWH;3S_L#?=Uzum$8Fk&Y6P413L%(2+o+jc zKq*$5sn=AGbS{!2KGXIV`*t)Dp+?PiLC`Q4Gp(1K{Fa~XS!$Cu=aYDbfDX*k^-5(W z3@aU#7oLD{TrMqw0I^aaYMt3N17JDJx3M|YWgA;?{q@KV0^7qwrM7}`i6b10bWBIf ztea|^=nCwJx?Bd_e8tAtj)z9Y$a}9j0T0(f(rutD4T6EW!YI@R2C#=;jYa<|yx=Rp=l4_MzIO@7oAiUS`6&NiUoLlbC^lfR$qX5tB zY4jNIT6;kU)zJ}AidgA_o+v|FcX&kBs-4b5V$D!3i$wVy%8>T4`pRz4C`D(X4rqcm8r@@GUBVki;|7?>53Wi`7-3Hy3~b~)H#f!saH z25ztix4ZOAHEod}zzrIZeE29gjuLvY=&ufJ`D#U#M%Z#aO2KdKN|d&)99(vUiej|w zOMiWA`|fbBfJB(akdfj|qs8QA_i(dI38HcZ6bdSz+J5zD+rGt@69Y1oDkbu)^uP`2 zxfD+D}jc0+0D6Lt9WAo;Q$K9J1GZYZN7il!rHH^u5BIc$#&o(ptU##m+h*hm`kgbtLuBa zhJ^&8Li?1E%&@^aDRIP)&^1^OD+L#fVMo&yZyl{9-R7TYcfr~(ZNYZx>hNB6@iqS0 zujCZU>Mi*kjvdlDl;UfhoyhQdy4wiUQSo)7Z{&98JPM+?+p%XG?gXaa#n+D>{u}&h zmO^#w>Tn~-nzs5zrACWy96j7O`7;B!P0j5WP!#$F%?)%^M>Rl*zj^cxyv0A>HejMG zG-PbR7vDO1$ZzwHwUFD|n_CRRn3)&fK6%=~5lQZhP@Pqi^87{+uXfOatMLU>&UZzR|by zen({5adplHY`W_n;0}`F2SyM1gZ@dj2MtYa?e%qQ=#l^pd=Xaiiys<2^bb3dQ@0jz zEfz2-mye7d@SP4pR@Ea=$qvp%G&(;zddPPa2lX@j~@8Pd~sCZA1IaF zhE>m0BIOQq+`$qvIP?9OAjA!-pm@wsn1f0&d-lbTkDjKV@Qc5X(zG3M6cB3_)6uD7 zIz~xYH`ZdL)QyShu*5Dkh0Z#k4e2O;a`XiGlwZ8Fgdn*7>ky<%5rMY#Mkrr;vSE82 z5ivE{`1I)e_?gg2M(#4~gA}(9=@>s?L6P^qu{jFLF_auEp+NNMrB~Z+c|c zLXS9ySpRiugKm+7ZYj@JRxGO2id0>U_XO?WIBWP7_$m0|x*iyFSxT*RmYCtt)ppKzr+a??I=tSzs66Mykck5}74rM2)FcvOu1f zLg;t|gwH`x?D}GqO$A3GGMjO?BZBBtwBKwON$V(;*UJJcS#1Z%$f4u%&c0M;U}*{s ztkJ7Y?ftk1l^1W(K)6|WAbq-3@(YGXz8kwgDQaZ67L2JkjsgwL`7z#vO*7*(RL~?k zgLmY#z;OlAlfzS^3->a0_hdG^`YleGYZjN230gB5m0MI@4T|>fM99asz8;(?0UkQI z(Gdn$K?duxdZCF94Fq0YDemCAWr)5WcBzp`iXGX|i|COazUCPrpq;#6Kx;iDr*_RH zw31u=^61sWSDd*4FP5*NTRR)jZJHZ=^_AV@p)Wa5%Nt+wPq1?uGjlhvX?F1SLxBAa zXL_)vesx0|-G5^-r|H2r4*~kO9L!fW)-_$EK(A@nRQdKH!2XVdxt&82Sr|Ie<-3Of z{d@i?mgX&Wt!=m?WOeiU^>s~aoGuV~zJCaf{J@#@t!-?+!~so996vk+&_D9!PXl8` z`;+8LLLJivM`{zDMjF_Z8o(J-eVs;MCsuHATl66IoASM>9k>rD+odfkicu(uxhP5B z!sA!~8f{JafmDXza*UQ4V5FHz38OI=gx*aehsc7pW-cCZ&*`wRv9NJWD8&(Dm9IE4 zQ%a2v^qOs2qoeE}<=1WsAa07xQmJrgj#{mV1(|lnJxCXcn5hIaX673}4UKt%TT^Yg z7Hs{JvP58{Rvh|J9+;}47hTq_NY(1(qKtyWB2WDVF zX)}qW)lf1l|3H+*fFvu3_b7LJj@eM?0C=;RVBr2QaVSL9kRaNi$S9+T8KdHj=`L+M z>+TYpetHOO`k8<8X#tzocOT*BXzK?*<4!kmE zsi{2bDDSoWv0YnaW~!EgPDvn)_ep|eXF@dC<`~B#W3F6)lffHG+DXPoF@hY^IF1d+ zK{lt|LxB4c&O*1eMd0SJVQeyn0UO*=0>I8ajT*y@jgE{q(d%Z#G!hfu6uPO+h6@B_ zvPqG)27^Y}*K&IiE3gV(HM{H80DTEs>aPmgcSoj7yjjNLwX>hPNlxG{9vy_h? z8>t>fV~`l?qDn#Y7$yyFRCqAZq1`#~fpRc@%BWi$Sm1#b%|1mL2HZof##y+&()JuB zVPfNPOgXolVd>y_O_CH#c`z?@#&<(t4Qd(5`6=C+f*es$yD9X0H{H2W{P`iMn_u{1 zMXb7U(9t?4&@pEA%xEgQI`-bqJSeU7)H#_ObsvBRXf6e)4q0~#MWvR)QHwC~kRtxO zg=Ny|I6SXqLG47b7=HewCQgR>zdQu$|H_|leQUaho^^$u3Gx+!@UPmjYX*5+47o1; z`ViXsjel%34u&r4q$3^0-yQx#5k>(GNg zO+g;Ry@$Ywz5Y!%2OdMP_?+5mXCvVmq?)Y6aAzfjpb^!zb96cRxypmuN*BFs4PW#^ z-+NF#95Hh%)*?{d_*LMecCAV{4TAL3MKJg>h%P;g8yaTX6Cyg9GXg;fs;OcqyJfY_ zWTzC14Rn0cHaJi5NHuGN>!{=E2G_EVZm1Q5gz^VRvU~t!hjD022S%XNsZX@Sv2YIc zI$+aWcugV1!d2kUU8#C%7&{LY`mkR!lf1Z@jrhY@;r;X|C|FTymdi4+?T9SnDm&AC zG@|GU2(C|#F`^Ve_(-ZgIUDLppcNo3Rz7XlQ@eD>97t`Mopv%D8GBnbr?lAZR+R}I z`d_DBscu{fZm8Gkmm9>eAA{SBQd#<%k1ot^|Gq;Q#QXi5J`@aMx-pBE7q-EL!PrH6 z{R3&*F#!iip1br;6N0fx9xAzXBr3cr62TsyVeIe57U5DHf67x(>`rpL)jf;_vr=U0 zFd4P9yKP4<`r=|C*$WruBh4Y0WHx!Mh6~b^F;p(5Odkc;gbFE94MPG2HO*+c#%3i{ z2Pm^H3fI!>gRB@vP~0rvhKf2K0mMHHKZtHEsp8u33iV2qYA{ejO<6-4YN$-%VJxbN zwNaM<3=eR`rqx-EcE_%=Cdn z7~u!~Yn%a|?}J!6xbnH_k>QFB=MkWK-yxuSzb{S=aOJIXV2i~CEP94@k8v1AggNiT z55GNZxZPs5%1dNDq+(oz$ilOIOzk2QV<53!VigiC9N76%-4!Vd3g9{h`h+OGLDJM( z&jW`*=LbU<^HFR7uKddnZr(BswN)W36&S@+>NFU=7U{$s-2m#EJrF~r5V=(g&L`T~ zz&&&beSO%!WnCasxQfyE24vuRU6P_fgc+tC*CJIQ^{+*ex}rQ$aE3+E zv5!jW+oknt6fvcA7y_yW-;mTxF#5o-3g1vwbS+0i1-E?|BC-?(+O>rG?7z*CXwN40~`fP;z*sYEnrENZqO{y2N%+Xfy}^QfjlpOUqCldFeRw70aPAh zPG13v?4(lyo=)7zF^wv#O5-j7t0c*RT3u}zjH_6PZ`AB z&m&OT1#~4Ty0)x09fxt$jd-F*5g(V4C5D6=QHIzOHikwlxV+lE+!kZLE59b%jY}zSca#ybhy?$1Hc3+T!WAbI;gl!vpg!LZm3}=iRCX;kipKu zR&|KgWx8U)0cAyoID?H$+lF9yUTuP@ssN=dfcDx+ainqun&?3ELi=7MTxtfO z%g!n90HAHCeEh|Kp&rEz4yHN89A(YQydw)rQ{mDf`m75F%W#NQnNPdw8)LKXsZdFp zUGN->Cd2&cY|x!*tjcty)-0eeHHQK|ki~4WHusT3utSgfa!g={9BT4a4B8GEJ)`F8 zQQHH~vXGV<8DozFbr)hlIYkG6ro}+@YCF)Pv`ctKTiz1HtS)F|ctC2@4OT7cat9VB z92-=U8SO4fbD-ihw0Vn_9woaIt)Q$>G&OZfiWCiu5aWn&3_TDmGIUL}`BA~(>BS2A zjAa-ZE3|acGouHIv1cIg?nZ7rb_g=`xPSA*B{F1&2)d`%;5qCzKZuwny3GSl4&Pu` zPIP$95bU8+pm>N5WgQBXHw9_YRhQ!reNz9;g;=pJ3pGTAD(YLx)KKh9($T?mQq_bG zVEKT*p{+)?p3*7cnjT>NVhXD|Lo;4$UFh->AgqWTv}sL8Aq-i>QBi$uxpKp~3oe#{ zgJsmO;fZQF5|g(yMXIap?b3%*yWcS4niX+lrvS^@XyZgsV@z8F5f zTOKCeOsd!e%!r7!?n&NZ>zO0HxCf--p~?7i@va7gt8^qc}-o@ z;I@uzzY-%JmDPxrb3c+xx8^w?sO8VvhA!m`t_UQm%*UjYG2vt6sg8UPh1pbB6IXF< zP1;@mQzIfcu7cwlbKJ_f|0hEWMAmR=yHv>ROs8ox76G!ztRNevtFR>fkn^l+k=mh} z@$s2#@9k954X@;!%H-jD_eVk=gIV-AfWa+ndj~@i$z20oW(`nHbDuZ_b@`+(ou!Y) zKvA)f8{?uUdgwfKC0}*wj(@ur!!#zVuD08#pgRBc)FH^~ z(|$`}*;i$87h{&LJ;?ViOPM>wjHIYYekkH~~QaXw~qUL%`=*7ayAPV9mlH zq&r>#pU5#|;6(U5cL?}A@8VNOr&A0zBcV@lFjTLfGC~yoD_F5`<_mDKl$g##y0>H~H zT|rIW->q&cv37c=i$s>p1ht1k>|q%nwUT3Id?0vE-Ec6w%1>Jn<6dJz;M9epP0r=8 zl?@GlhFobUBVwwT?Kql9_pmP)z zD%&n-(iraXvU>ThIdZu^{}gdeTh!XUN~D}F`E;Kh0%er6u91zue)kb;Vfb-703|s%#!60tM!I{(!T$z>Ij2M6n^kG+Sb8bN0ij4&f z{ieL(YpHf^kuZj8Dx^U<3dyZk`WmO zy%|m{=*!R*bNZ4>wl6x9PKsc0uw$^hJKMjQ$`!IWvZGo{sa4&W5~(%)nH}H*HbQ8P zE2yxQB!z)2Sjkg7^d2{ZYw+`I9&X)1>^g2%wJA9LW?Drrf!?x|O$hZ2vSWINO_@l~ zf=qm{DTE^}T$u(g%I@sQXZpKf2)5x1P}{S$8bhpQGxAPZr$>mO0}S9D+ouI9aW*jA zsRb(?TAoZ7q;yAmh2WcAK|cvU78IHvD`~;o!et?ufj2|#u$JACp{PD|`qTxd(hAV2 zv(#gudMr|p#p-c}dMr7WYFAU~GgZo2>T$MuoTDD+o;ruiZ5`a!muk%p^zV$c3JF82 zgug}^+ZK>?Y`8<@vAV7fXtNGBH|R!S(TaxZi`FR+2qzRtsc-7wN;H*0Q#@EuNp*Vx zC1OfQH~dhKcsZz~$ih=qt~2b+(!W{6qG72mRCV>@r76Bv)G1GcY0=qpQW5m4s~0U) zI}Fv;5LwzRP1Rn~+`6W%;j((Fqn2_BHR?(P;8`*7;>w+AF;ifAL83DjpB-DB>w~KJ z3>KHz#dI+UC^n%hH0xD#TA7OLmPXi=Ul6KrP8{CknejjKv_n`W$Mk;@wl+%$8b^Rd zI(CvF5g?+#;zdi&UUJSEXDx{X5|F_u_J2qVHSiUM7M+E8La8)>%TZz|Qrfb-`xbC+ zIje^`upm&ckl(qz7zxZ&XD~!TI-`@Y;T8x$?_AEGNpISQi;8uXV-6Av)d3S7z1XX=N)Ij#ANSxFsbXoB0)@< z15Q6U=xsZq77B#HLC-tJ#$c~$Xszc@#HCv)?>@@YZoKv>`D>t*cfaRNi{!PajVsE1 z!1IoGb6d054gye@q0EDkeD@3URR5s}^x()MBVbKl zd!BM1iy-BG-IVel_q?M*&C(}wDdP#xJKALET|OcgWj*PL0HuXL21+HK^1PE{Du+I# zN#&jf?&g||@W(4D_ZbHT^1kSlKV2YSwQr64oL)aaXy7%C!`+iNSl;_PfWOYip z5uc{$42SPd(KfpJ)RdX&G^%mJOqEpyZjLpjPWHSLY@>Y72;$7lEqJETtdc^`wQi=+ zDb9dZs}Z!MVsdA@7;`(pdW|V_s>3}6LEo)q3e-9rR0To|CQ{FF+KKLL7%+1kFp>Ku zOp$p`5gG)|!O?qDWWK|5C)V9$FYvq>hOkby6P991p5{_l-50GoXH*aM>vV^@!5Iz% zVxi|vF^$nA)1)u*yva5_-)E8+d){FtnZq|t#u*MAiZ?XrOB^_uahl{a9TGU95+?gB zhlo1c?1GyjXFCw6yQ<@?=Bd}`IEuhsZ8z1=btERV`fUmKV8(I$JDGe$}mhfS&F z&e#txikK2BoMxQKGi7^XOmdPZ64l*@ohP_@L4kZC#cLGC`|g~4(8$5yzI=XIXa+^Pl~Y#F|MRxQI!}<#NcyJ z!aKr9N#IF%dlG@hh;t*ndlP~2FZCh3y@|lZh;<;meTl$`M?3>>ztei0>);)5unznN z?_dI!&|CxKI1S!?lo8`Gc=sm)6RBMZ?Jjr^B*NC*^%cAaBblzF;5`%p8h8ob!->Fg zqxP(7^B#$yXnX+g(Fin?(Vq7ni(uh;2HxWd?{K%zb>tR!Pb315((wtrCmnv4It1QR ziNGOrs)f7(?`dEf?+SR&IL$hKfcLD^Yu5?zo^uEl@<&BRK&HUvNY!V*9-p9V&(m zzxR@p6}I}`KOMAnw+R_#?;VP{=2_W$E|N+F&bU*=HTGO?hQmjv99w!WFw<#ECqH7#Ie)&xNyk!i-hza8q8TYpo@2$i*l8~Pq9&Y6obJ#s zu-}}uFyT!!ZIJQi#6<~jf=$f#aoXa9H_4>2+2+(Uoa$t=IdO?o9R{1z&UE_eSZmHZ z%b`)kRCE5>PF=@NbKW`5Ky{5YXP)cuK4hUecc}x`G0&X0%n|HT+ss9lJHs<}RRDL^G8r_w6n&(E=4y z?wh+@;NpZgC352^r?-|6*M7Ev^V`s&(%T<7t3Bb>1oxG4(gw(D%uT(Vw-KY<7*M*B zlM^pVc)_KloU#ey-nlWH(=G)PrPqdY)@5ih=887~h=H1IC6bAh&;jv$jDnLs@3xrD66us*z!;jZ?0hcl0^uDXU!I%s$5& z+lu;T3odZ2C2mc>UtunDM3<&QFux&3px_TP#W}hscIrcQ2Yl~nH9fMYRB=-8 zqFis6cUUxq$`AV9VXAx(cIMRt?^I^H?;RH{leV#_-cdp6AeHLvuzhz*vnyDrKV)y=wbPC2q7lwT4{Z-G<4mG3`2q$`@_B&Shra1m_2p5|*ox?>3O@ zSn!UDOQm|!;@f9FiRo3_S1NInEny=dy=wbPC2qDn;mL;m%&0dx{F}&89nmsW&w?nQGo*TM6GU?M)8F@}|ZnQ_b6KE8!p!Z*urI zm3@tsFXia*lKtu}w5x)NDsEuz9B`7T#%nF_8+x(zo#|=I4GeO~fOl9lh04Fq_ogap zwIS-DU0vMO(A3c8O^GB>>Dw(nu5gq2iO4%AQH|G^lNy>6mP!q$QoT2njO*3;zMa9i zre9R5~%cBt>ibee~mDFuiCy+iMRRQWW}G07N_w|;NHz% zm3gE5x7+?RczhQJUN`0wIt=c=x)1a6X#?%2 zQ>grVEgj-cE6^I)_FPxCCG>Gms`ozMJ5lw?idbj(Q;*)U<#MS0`+e`^GWB!LS6030 zB|zM4g7g7v|4WMUQ4jCv_;jlKLEoFEu+UDl`*A(*$e2W`^`T%qxZl;ocyNDG$q!rG z;vB^BCOAK+)JH6T!^dvC$>HBr_D&1YIfDb|J~)s=r9Nu=^&d2QNHdp(5RpyQIKW^z#2wlAIO%DI2vY)V; zAY^(-qsCYylT0-~>3h?)48%vyddGx7Dq;{&s{JX8qoYS~mPq?cN+aPnmHo8U>h4*4 zZ=(B)%6-Q7QVOH;XREy9%I6V`&ssTg4mf!eoF7!`bJibIN0+>D>PdN@x7;ydcV3lw zqx>&et)|1vyz%;la=&OHtC%x*dK`O3d0)Z=#g55fuY$6^jQLehth>&$h_7IsAl8B5 z+^-_n!quOTRCbU+e+^RLF5M2fH#NkOXB_R&0*pN7as@q7An9U$h9Lbm*Q90^F9F-VK zdSmh(TfKXdo}csfB)vp_F@>vCTE#=GN-XYElj6vPII03-;%LI$A{D<+<%we$`J;yo zh!Mw@;_q)AE&9`v;+TXuwla3&IO>621c>gVdE$7+{Wwd6ND1s+iW3OyBs-WO`XIaB|uC8>}?s2VzaN>ZGh5VK;aA!ZYq;y0B& zaVisPcDX<`QA?yEdSK(e{H{Ji_F|SwF#0O*^$$bia%2RB!(;?q%a9QS#*9HlArPg^ z&NII zgqRnIlf8@dv=r{#%7NpX5YEG&bpSWG<$4f#+g zg(uG7zAUa3nJJb~Z>*J~F$E*V#tJG2#%iz2uYF0+DkjK#S>8eP%UL+ceyN3n+*jf? z#2-6?F?)9y1C?wb#zFa=DGZ13c%>*)C2+A8^US0;BO#WQrMEb%gdHIb?1{6P9cP8u z;TEBBa*iWhmehwfOyWtmuM%xabeSj4O^UM<;+#+ z1#&|nSE37Xs@$$WI8|{q-V=36ab7~4AKxvpin<)q_ST|$Vm0@8Rmd`#Z0hhD+u?{= zFoh5gMxI36G_F1=Rwu-o*rvr=!Yafi2cjp|Fk{IEGU$s7sc#`63Wdmd;v(+dg&|$%7NPz%I{gd!WBPbV zq88sR^f#7`>yzT5glH_=AJIhJjq!Xv(aim93P}J51X2fEoDPmJsIwv@OE!qq#+uNS zFwP@{?0Mqiq-aivmeTe`E8!LEF?ynnF>AG89nYL_YjKG0kwnXFHMR|5@J&Y9K~hS3AB;R!z$accC8lY~C*`DFy zH@U!v=I~Jo4m_ZNSdA|c;zJP~C;*Sn&S@~?=J_`!+n9gv$H?u#eztcY>DACny3mPx zv%G`&iLL&E{&^n?U+3L#%J7AaWZlZoe_`Ij^Iw>>@vZOzFaYVqrM9qLCYmg?Q-nj8 z+LGJhui_$b;<9vlkAs$aeb$s#kr6mxsg)(qxH)P{#X;bNrMCJu(1DItR+{YNTxB!} z0!JuyFPs^@6Ze2al%){i8>kzk=pbe3f&jAVIz>83X|-(J9wMIDnG`z`;<_@F60Zu8F@m=zb}<=WWyu&WKxDk0$e8TL zMU`YB69bs(g@>gTbE+GJkdUWaZhF2uDRw2q^%m!FtKtU2+1f$vMUm2nqP&q2zQI;? zatYgFMKuY;Gkio2MQPQ}N(c1F#L)gMR7wqf4jlJXk*g2I;%Fl9uB=ZGaL|Sl5(lHM zyNRTM`YCbUp>;}DRG@g`XQ@P%0_mc%S{!<))LPT2@A4t*P)2bf`_M(@hHL1&cbJ`| zl)|F}YN%}3KlD*tgg(?z`9T0B6f>5feTEr>ILxhy8W)@7xyQM+n7Id^aGbmJ2*OKN z=p)lN9N?~SE&=Da$2E6s#jZX_2Bd(PnO4Q@X;X9n4rp6T ztwO6vYyur}6AvnB$yHj2#@5XQXt+=M-&ItqF14a0rOk5=<+04w~w4oF`t#_`TMy;6#fM)ov#s zdN<8F3Y{jvjn31fqpwej*CoX5W!n;OASjlkG1BOXH!?DBuuLp1MCiQ9QIJ;sL8FJ& zAAGHGINRHs?I$%p9MAWSkn%8-l?ef}Ss{oHYS*|(Zgd>)xT<-U6Aed7SDT-3=o@)_ zT3a}wZs5V2lj4mD@umty7H=UgSX-&Wx7?GCo_H%W;VpI(v7`*~;BATron%nQb#5`+ ze7fr~A$1fEp(z*H=ri$j;8Mx?A+RNMR0rgbJEjAo#~#rExhoyd@xYsB1NbpAN;p;B%U81N{SC8#0SfwEIv#*?DKD;*c20 zfRDJ!!HG&wd^{=cPKb|{LtcC$G(JjBi+kde+_z8I@ewUTo%>W==PLFJf)>9kfbQz~ zx81C@fzh-8Su(i@Y;YM%0zoSgN=Tb4d=NK{O=NQeVi!3-4#9Ke6!K=3j&nvG;%4_E z_<%X4Ga>QC6edo5F^P#4Urb*ri7%!xdKIJ#huY|?rZjtrQ!kjg=#GZN#OZ>n@PNa# zCF*?ZU4u_2#U~TuQ&z)71wwo#G+JoxL~GZXTrbT(Ff8T$l$O<7u|gpovWIVDX=}7 zWu~!Ue0ed148%;A32GZ{AjRaVRcMGs=tM50qJk}ij6}}rLOkp;0Wl*K!}R&2_-sOa z&JOelRmB%VT%qdD4Nc(67nv(xuw03hAg+8#4Rb``IUJy^TW|mlc8CKQ$1!wJG{P`0 z*AI;55Q#kT<)rvxLVU^gD}tB!3iZp1s&nH6`t()q(^qU`PA>K5YfgWt59rERTu9ov zg?clVze_>Fh6P!QfifZoSsSmv6Xrp;lQrD*$kR8oWy&!T0_5r2 zzHpUb#SU^8UXy^ZX(uWWx- z-$6g^pN$Ce8m)3^I0RE$5VNeW4@aW!rJDfc{DpT6)g}POO_@yqjGFR_*Aw4Q ziti@G_sSDN{D6oQXOunhL#ECT?5GPYHj(8=Ocp*aVaIwobIv^mP!cu_h4G?$5uhlB5j|H+SDsQo`>Jv)3`<4a#~Y23N+#|4CmN^Y)K{?S1V;>!U0OPlA4T62|B)1bOo%^)nihX1Y-~SO zc-DV1GJm#QRu^%KzYs1~$HNS2=Wl$E`dVqJGwXN>a0Rt%2uJX+=r=iO=8-6L(ySw< zhfo61i5fI{CDjUT5I=6A4{Qb*5O`ebSO#=KjLO3J*Q6J4|80c2MnYCniFz1XPRAq)Yw#-8Q8FtC^QfLocGIN`UbAcx| z%q*hr^=;TDD{;cGH&%8~U}MbYMX!3p{#e8b^u&K9#eXKmUo6oecH*xjp0U_?;=fr; z|7wZn;7UX1Z_31RM2Qtqm^mxKq1j5QNMcOK$RfFBMu%FP0dB*%Swys8nS(NA{Synw z*}SIx3M*S|#39QrNuY)QCn^3rA^v8oI~^B)CxVqFyC?p^MEkp)uSOU{gc~Bl;n=+T zTB=%1P_sN0Dg(Otylp-PpNOG3o;ngkMqio4t{@JE=wb&P)600ouElpR`omzaG_q-u zr&|eq)ruy0IwRsVVaS(UyoJ$TX~!4Ce)!|^Py)tD4{bw17ic=T+fdL38okIi6x4z) z?ruXtDOmQpHwr={XurVerHQkFW+)|Ha8DHUgKo(=vro69TMtR`Cb%z~!g}=dSfA#1 zLwO)N&X-2%O7wCUnL$g0FEN8^u#H9+!XV)HB*i}xVyK)Li#;K&;7X4t?qvbrV+W&? zOTxXEgxj9svbuoQFx1Kgq&v~oNedWc-e{o=F(Q!dN|YVV;Ex$AkZsF!SGPd4Bg&Fw zT8)BWl>#zd-erVDm#kAjfJ@dXAiS39k>z;)27qeRkv%6w%oF>P;@*VVYdhi4UhF5H zSyd3azS9#2m}&cM({46#?I2aQI!nc$*Kq`oLXupg&;@^m582rEGZsIh)3g^6ORuE%@a>BK9AekQz=IXttV-$jV;iJFL~9ZiDsE< z>|vCR!KdbUm|?VGn5pb4Q;#QlZuOE)fk* z+(u)+$VWpI&LBdn$8svUuX?U#ZuX(^7hYq>h|@8(=AIw)+Z5qDeY}Uqc;nQ!k)V%X zN{SZ};>EabihmLTPP7azxnLO|CE!mL9be`^CFf%beQew{%AARb^wFi)D03D>Z(8g% z%A8B`7k3n!Ga-Kwlx(~`=aVd!-j>W+@SKk?b6Ya!!-Jk$a1A(A<`Phq)60gQatUb76UyC|%mrv&Epl5jr$c>4 zh->%uIiIH7rMD$>78K{?;I?E=g2Fr|=C)+cgR(r#jkw@M=*mfL?aeJ|x<8py;73o< zffP24Txp%=TzAaH;YuG9iMz1X;7gwv$%|H}h*W#kut5Pc?js|&C39N{NSz$qmdr^M zSBgl~Db9__Ha!Ic7rr&wW+4<6cQVp-;&i=ngH4|agQ9~GA~`3M?y6ti(ALo06sA93A>FYN#F%GoK>@3J6krIAPgp}vs<5f*to!{0s zh#-eF0xSx|!!+MHnqG)qz4Ez>D9oz@n{hMm*rXie$z(hSI_tP>zlpjz>V6Hs+JS3RM;n;=i5`Z0$#Q28XTd}0|dLZ%qss2_oPGq_$V*2R!B zsaE;3rl@{0SD#tg5s|YLoN^~R0p}EkGpn+@B4-nvvWEx(=2QkVyP{7cYY9p;@(z{f zaOK)Ke@D)xIuS{?9uMlyw`~Fc8$fgllgc)~%MC2wLf~6Wq1RZFru%lzo6r@jkG; zi~vXXW=8_{at3=@oC7VdAfVPCVW$+H$4H=F$xyGb4vVSF4$D;p)-E4%7XI`Pj0A9+ z0bf>k&!g9nVaaYIGK@Ks2RI#&Mc;8-093n+RAZTTZW$($*un6 z1q)Ka8Nigh#`kMvkAFmvOnd*Se0NH2^T(&My*P3@lB_et!yOcH;}& zoQk9ZBZk&+mR1!S@TU{t4ZUczCreT9*{)P4pDUskYUH4Q7!_#B52P}wt`2T?yTV4N z^_NQ}PYO@;Cb9 z)hbL%zKMRn*+1N@y%6*1AX7@dg>v4icpqj2bKq^hf4O|SKQ^^s!OE1p!}n*&clhJy zsc+lMclwFT=gN2a{+06GzCTaC$M;k6y}sWl-{>qQvSy+Hm&XWVqzp0AA;}ihA=Ko4dnAFoKD@DLMU=?iWpsBw%*Co zN5K;)0#W;@KY@fD!pJ}G@+TQdRf2c7e;5*Im#=@2Hx(fiAM>jyNGX*oq~yo_WDf?Z z`~(pP{A5=al#wmH0XX*__#)O%3(|=r{Ox zYql`BjfS@TCKdXY@2`~K_Q#!{!QCfa@;mnJyZ)TomYyuO7L){LdNmP1uGGMmY^t9= z&XZaM)}`e4{Hdu-Z&#|XKU*lIl+>Lzr=~`JpVaEnT_*0tegPo8J;N(!$Hj*_aW>Y^ zrp0~%fOE0tGtI&+^aWqS;asewonUkAVQyWD+TMr7tC9ja=W`?s28(OQm6YNy0B|(_Li~pm0N`f~;HTxuEPu}RE$%L%QU4cQ|L5fyA%98rkG1t9tN@5#F^FGQ zP9DY23*^!KY>>zD^FnzXKQEFe@Uu~#$j|jM#m^==lb_9U7C&3$Y<^xWYx&tK z=kl{n&gW;lJdK|l} zuHt7}uHom^axFhI@&bN#$P4+|DI59OC7bw}l`Z`2maY8UEZh0HMQ-G0PHy7oR(Tme zuaQ^qvqxUV&u#K*e)h@^e&%HtKl@}iKd+Tr`1vLILw-`w4o~YHT zpns35xm&kX2&ykN2wi^wRS#m!--oLAtMWHIpq@8AsGh}#phrA0UxVAF!HK;PV^1tB zO|C)m65Wkm8pnZy3fQ3Tj<_GfuN&I_AO!Uxm4E%i>In*+Qwn+V&^{E^ps)AD@_nlG zE}e9PZtX_hn$)e`q`M{_K&vsW-Rz0;b+>kDDv5mk9%pvg#H4 zF}^*~SPGzOXg}9z*@F>&F93L=J<_RLG_YHBPj1sq-l%&bbx&S>AC%esP}-ViuXzv( z`XMMVPi%^S+`Sj$#S>TD1GT+}CE{u=5wF!HUw1DjcWI1o*EqahgPP56d_*zuO^>SQ;A86f=Ev3Z zEl;TDTc1?V?N6!a+n!d>w?CtvcRZ_}?|2Tj$P?G;9=&rP%#sn815g8+n!B}Jyz73b z2v1zEaelY125~6rlDF%UH)siZkEXxWeYr`??du;#Hy;6k9)r^ zr=ZNAhQfXZEox+UKL?DSSBSs&1sKN{q2OOqzi<1eR`Ms++($Mw1v^g988rtzakExM z@AJfM`t|*uxLv<~z!PuM9eJPT==(JdKA@%QgSvMg(!Kkz?%hXp@9y-(+cb+ms%dlA zURaTRun7BM4GzE(9E26{#5;BNM|F#L1u`@r+3$^m;)xHICR6Di}9gh!!+4Zn+~2r|NEg|?AJ$oC-+B-l={{I*_Bdg!AA|*e2uNsT?|1}FXhc8diJ$4#cJG4& zupdLo7)K9b{5*`RBUB=HN0i7dPlLgp_>~?vyS0|QWe+F+E-v|A zxRQH;%|3L-6Mxb*cJBc~l;w%PXi69j^D)i+J01X@4?=%Gg#PGmfBF#!*Q0>+7^*&w z{yhQSJc<5kCCB(Z114#GQFu=Lb?A98=LKN!BAnHiz%)<%EueS@C{7~<)P^s9KTO60 zY$A*f+aCKldEm<>%qzy#V*_MY!~y*sH||Zjr&7E$Z(3L5v5`IW62bXq4{O zC`padO^;%RpwW4|Ck_t5F~0}Srzaj%ujk|SQT4hCuTSc31Adn=q<82xZg~h?*YMx* z2xcUY!h3xT=<9BNQd^?!Pof*To3}m#oW2UHgf)-!Ez=d|or* zdX3Q+bg#dN=n_3lS9)@jmbopSyexQyv1iI5^{U|2*nOmS1gTxYYj^Ov#lCvUr0k5GF2NW4&uCyr9jC#NPj~H&JsGOFJubR z>%v8<%k;izaqzzQ3hzW8`AHmn)4$F;A63kc^Xu@VM(5P6LO+9_t5!q40w}B3 zB=F1hYfZgutZ*H;u9H zP0c~)n_D1zrjsofqXFBMi(B!__a9XpM5Y0|@e(R& zD0Imtu4lk*x|DzV2JEGmsoy*@h&`8Gjsyeh@+&CUfV$#JE^9ztc@_V(HLptJw^1(U zS(O~mGT7l0@N`x6nCh{<`+rsScz=j9Gc^;&Oc zrX+`aVCQj`s+X2OmOmLUe-g@4ZKmZ<<hq+$Bh-^j~eGci1%sZk#T$#Xi+spZ{vw7er*0d zHs50)+?*m^R@8_IRboQW+(a>HjF?2tO`_%|spck8bCYaylT>p6he8Zakvl6Uiz!uN zN&xOKarhWn2RepetRZj}X`;~MzB703rB>;UM2v_?t)5DWEP<9t zhK7$0MTC?W@gWSki!;|z(K$`VyIM1_W} zP{rLfA_y5`5mdS%D~=Y&REc8(5j<8*8!M(M5yXQ;5DyZ;X(WQvNCc+^j=~Y8Y0uCO{4}U@erZ6iS!1xQ$Dqi!KV{34D=iK ze1ht^Q=J-_Ks6^S$dl-Ovg+>?EmzZy=QoVTX-7>`S(7v$rBF163T-Q&QAa892FC8&`AUjZPopAu+9k+srqDvMcOr(i=mrqj64 zXoLN#Db-CiE+8GceVa|fL#T1nPW1q*!~qKwDgb2i84abTDU05S?UcnmoY9Z z)fr`}n0-e?gppkytPgzO~Ae#nD z7ywh%z8!EH1v_VuC}_-}aWrNJ0&sN-92W)abOEqdK~`P0oY&3SY~nm&1J2JN!7}x!8omOg zbB0wUkO@{K2Y6&;#Y}N>l{h&NsafKbvEmdZQh1O^;ju?O?uBHXLXvd~N!BTvXDjJd z5%K>k?`y#Hyvi~sU*?;>O(soCTi7n^LT#tXw4`k)(-O*11N|Yb5hDZ~F^-W&j2JQE zh!G>67b{k%7%^hRh!G=39C5^mBSy@+vu;+iZr07Zvu@UYX(yAPrvK9t%7FKMKhHVm z{l59WB<oSo;d4Ki_0j}=b}UF%FS7+Q zOCK{l_*zf17Kyxyy%%M2F_TQ+%P;0M2@nNW>$_}m4aSKqO{>AEeReQttd0nrS$!|T z5dyKblbN5_K?K<(riyPAT8Q}n=g=as-#`bGtC$0cTx70tQF0Yga+O8qD#kLT6 z{S4{;n1*{oza6Luk$!^P@6n48>G$ZwX8S$d`Z(SaUZj{?=d!U!8_2ZK&xnm{S0gN!j`dD9ZYilS1eAGwRsYD43Ww1wVm%_kB!;f{qop8s$ zHe6SP>$2m&DlDsqWp==!X~6%N(f^mx|CdGoCuv6-O5|nk``7k_WvN&)iZ+XE6fCXm z;wYsfeBv0WTAir!R1eOzlzo)=UAN0irWNbOtgy0~wuxjLv|e18s~VI*|=@TG<8SW`O9#F+j)1V6$gm4LLUs zjZ}5DYdHTxX0Cx$y8HO!offtEGRXipjG7+He3(T8ZJu1MV+Xq16Lnp9&kwrMl8pa;~ggx1y`s3EfZjE-|nfr zKV&!8N4O&U3?e=?6Hzs2Q3anEL=mf{6lTc0&O+Yd1Xivn!8wW~+$By;6lJpJ9kgr9 z!7CP#rAH1V%R#HF5w+(hj>$5KVV5mpu{I zKuefE;h5hY?kU1O8S`%qtLtI4VUC8Fqhau@CfKV9_Ua7w>J0Yk4EE{-wowE-vH^B0 zo58c1V8=1gxH?hTttQy3(~--C0k(G_c$@;*R1aWRCjji`3GhgtfZ$2{VP^!pNujrs zqcZ~tJB6djl_WS0>yr-aw}rP9;Vl`~Zx3tgVU59xh9%4z;<<)+t}%tz@Ws#?poo2~ zNvj#fSJ-Pjux*0UGD&>iK%VLggqKrr7s!^WpK_hPBfPZ;Z_PTrEv&7FwWbpq>a>Nvc+{6Pt+ z!RB$1EVVN1J?!n_1&@n_!JGn2PEALOS54eA9A_;(ZLI-{iXzT2ad^sU>JYX#oFhnA zkt}$pGFLeq+};WIi<{5;tf6wC#*eftQ9B(w4C7QLy?ATdF5)tb$4=n?knQhW;BSHi zcfY6I{oWqlQG|D7_xsK;R1ZVuerV`^Lv+6(y5CTCzae)&AUovlx3nh=CHFIm_KWOm z=d$U3Lv+75W+h3zkQm|%i6MJnf?bB}sRiClKBKHZcJj*&WAn=mvQe=#P3I!0@`iHJ zHWZ6C_VzNghpZR_f=)?lFROT5D-N-W<47;NY>e$L)j~sx5f)ScOEEsi8&Sx4x|NBXPsnG*Tr4yjV( z8CT)+`+rka*nF#R>2|uG4w2{4JE}Ox&fm?`Ups|Z+*lI{g8wk+`g9tcqVR({vz>}Q9 z;Rp4Y@;0QiqIvAmH1?PYcQ=dUwMk+0Wyk3I!@G;{?u^k7gpKvE(J(^8u-!;-HWHkT z8Jvw7oQ)ZrjR_p12u@@JoK`l&b|b-wV*pNdqVn}dg0s=U0c2bl;CKg|!YP2$q8=ci zTn*OY4rg->fT>TL>Zk#{BMOvSvBUxrLhy_u_=Dj+MR-p}@Q1>tde~$Lq9KBth~Oq7 zxG5vJDI>TkBe*FcXcQ5QY#`XmCW4!YU>pNgs}qIbCL*{gA;^URigy4(r+{FKdO(nJ zH8>*Z8UR6`1_V2300g5zsTC0f5<>7Rj^Gc6_ZH#38NnY3o9kh-A&7=?iiUBznLutP zkef4*n=_D`Gmx7TkVX;6$Oe$DY{uzk0vX3ZRhn>oOuxztJ9Arn<+c-#1coLcp4x7o04U7cwa}M#3hW8cWeHr2( z3nTR~Vi2Pt#Ax{Jg@(69Xn0$WX3Cml)=XP-+?o^CoWzPFG|dQ2Gh%xmAy^{@7MyIv zGrIAam++0jh?@?1)kmUsbLtdj!XP8CDWDwT_05RwTH@r8WW+%_Iyl}y!jU)P2lbVl zsaKg2FXT>hCJysBM%ttsm=U|Y!HtJeJYGh4c8}N%%m{B_M(hUWQT8sXJ(fBi*Ra|X z?BK0RAu*z!OnpyffwiYoZyHH=jjFv7LwRxHN@@4n4K5`|ya2hbncd4}XYvwq1Jtol zR3xgc5&sn88qUawzX%IrUEYA zf5n~17o4bw}g(@W_8cqSuVFQ-EH)ZnfIDn;ln?RJcZNcjhB)~0p;-RG2f{cp#ev1w=dh8Y+U+hJ`*uwK+iyd0n z))x2;(sk^JRM*91rT2tf0vW`YKm^Cd5``M%1*}rEt`dVc$~U!9I@xB5Y10@f$u))x z81(}8+1*wHoRLw3M4fm+JFK;pkCQaYZ`~nM5pD9~?NIjr|JP$)bUgpndCZF*6U;=O zc#r};@gT7wB`LAZdSd&@@PQ(HASbq;3R~-8t0guxOl)ZQG`5u)Z7Va{Ru_z$o2}{T zWh*nK*!-pC))7VVlW&$@8;$}#uE1=*T!8sMuqoq+SLL(bx zQ!Bf`w>g1W#4&)sI?*q^@@go~F_d2(x~P+h0v_In+vF$@PdWkEYP=BDcu^WJ3fInY z(Q~PT^Ca-$Eu<%1;(&DR9k{S|3da)BYMF49z10awdp-dre;atON_& z+UiL*`tyR2uBM&BW20@BpVs)ckqn}-Q5ryiV7c0aaHH}{Jrg2!Optk{l{dn2VeKjM zdRhA5iPI^KSD$uC87!FsiwmmgB~w*qlf$;#KOQ_gK{+M$&$fRFq|5T9*z?~%3Yw~} z9rmAht?|!y|MHIDD5mj<=HT5_oF!JCcDW( z(oq&7)m7tY^$9!6W$gwEH*8r!(WHmrg0+{WW}o7o+Xzs;6wwB;jVIUk2*oB4Q-b3W1%`7{A;H@CT);HdDG zrX$6Kzl{~jHZO8;|FKO@++Dsm_u~E|4uFkp0Bs$o@-HZ?w~%N#H%f#!2}jp9Ke|xj zY`bg$OO|csdYT}Q(u4)IlavcD#W3waE86KKiTqr*{bHRF<&oG1v4m&`enOO4AtEY$ zqPN4A$t$jMulVKg@gjUYd&RGW?e(zTyaEm13vH(#Y^NV=cRK;1?QSQW?%UlQ*Y=JTsGeeZKp-!7^qvFD2%q#>$ayNj|=1Q^$uh-r(lpa>Y=2dY)z1%k(FIZ zB)+)p^(5_N6KS@*oT)_pQaPF`P7$WMVoyidJVt|iaO;Q+GN71 z&vE+o@W~>4GUN0cVP`$;G@Q`TVRsUsodjrS254snXlDj!X9CD50uGA@KPUzPXMu#gu*qB!f%F872#7Eh2ILh z>S33mfQBgSA_}{R!mfA^vvVlS?n<(re3ULfjs7@3LyNJTBgaQ`^ z3f=(}oB|3_J)qD$3Mlv}pn#nu6qYy&za2hZgimJ_ekbg%huww(8paG7#>{Slv72D* z&S31$VC>Fd>`q`9MKB^8V6?IsGrI{!90M?_69vX@g0VY+!G!^acOYh*0vJ>eK~|lB zAZwlgTzmqG&NlnO+39>uwc-wmZFkObyZyXUuf1^)X`lRvv==NQ?FJk9aJRjJLl$&u znpC_G-)-*^aa``U=eLujltFO}?zX&%Cwzwx?>0LCN4vS1gl5c}Fkte5rS1d28$MHn z&txC?y|AYq_LvW#p%0*;5A2~2?4b|r$v&_r`@o*;1ACGW7)2k5Z1_Mcn?A6IJ`l%1 z>*_?AbPs)CPx1jS3?J|g_<&RJ0jdWds7`<(nf{YMw2Ro&%}NJ#BumL(KaY-(E;=XvQBvRmd1@4%_~omY2_oL zp33@HU_*gQTWf;8z0d?v)5c;|gw$(pP-sVDYe()X(gN?|M=clQ-pq!Zzo$nNL&Z8< zy#=>n5uh5bgU(|YZ4I_6i;-33!1U4X^2ag&d8z_GJ(CkpHJhVsHPCqRg(qr6* z&ZRM)OO72LQ2}iYG4CCXw!?6|jp^`2r+IND8?Pyt8Y7VA=^kyMvn=gI1i z!{>|e`JAl&Be_w!KV9dzp~-=7h92mrr|h`Ls8cPe#%1k&RGk zW%KXI_A+(FF-ToDrn`K9?#0Df9Dv=qWa?**xtAWbHx*3Kj1TwTfikJhFl2$f$uZmT zarMUy!V$PI&Op~38OSL~h>jU?fn%Cj*4<4TLnQC@^)PP!h=%@%hW?0#hbI~y zo@jV@qB#c1<8;z-I_Y?J((&x1Q+K+W!;6t5R zKbu#ntbJeZJ+HO#BD~YSf37p@!+Dj;+CQIr&ueXz-ksLIzccF>@+y_JKVrQ!BNMD+ zjAM)r{AB8=xI8{z@i>^OEG7X+OyidHkWc1@9e(O^9N7w4$44Q7$MF<})Hsf;BvE*# z8MmZ}95;?Ei7Y*CPb%Z-xOPg5_415G%4#D4Nskh=DLv~vZwWZ1DM6ZZp~7V$@m6(+ znVLGVgjs3Rv>|-O>_c(1+tw^4r4Md>zlqIHFS#npx^aF49j6m2Ja`ceE+S)c|MYw7{=`Z6v^Bv?O+bJ4O>Cm(7rO1xC%g`O?AaG&*Og{zF34W<~;rvVP8G$vpkN5 z7cu*or1vpN@AD*mXHVGYsgrDE*?pd-S#)KizLpyWgT#vlJ&dkN4v*9pLW!Ezl8Dm{)_R zqMxzm{hC7Ww1rfqOvlBG;~Z|c3An+hwwf7Buc#PVUaFAPJ(_PHVMYmX{r&EWk>Yz> zz?XvH28aGXgugDrUuWq5W0x;Xpae32Kj!%?SZ0X z!t4Q$G>Ujm&>j=MLj=WybnGsFCHJl*slVf$;NS61n0*k`6K)mbGgY11H2Mnx7U+Y)fO34Y`4y*N3ja-M*Kta$==BNN!h5E5}}r0j2FI_vg{3QNo5 z8f?O@!5UnC5pPTsl}I!B|&;+1X`EA93B=5AHIKyu2hmv(Mv z@Na4^f3LuGbaToJ2^&u@A|@Q=1~Mlp-Z`~|3Z*YGk&m*es)CE=s0yib#o?z+4F2%0 zqZp0IwzM7n7JDtjR`Sm-I)3}q^xI%nvgVDRHUCrin?@^ z#RL2a5)OdHj9DN{Ii8T^1_@+U1wVb>8y1EBck&Pcu<@( zpr-T#hIv$}fVAfgpjSy_z221JA z`$jN!n$Ws$im93&&z;q&x(hlt5Spbz1qtQ=K4nIz9otki!ChvtVvRO%3wI^@ga0rgO>#tyl9 zJ;5jjz#%Sw$d`wf|C)!o-k?G-C_f*$qdG%J1P?Uq``*c#wcPt z$^B2dqvBMYbYvT%cihNFdZwFkL)HV6G??jEu;XpV0E z^;-ZHg>X=vuRyXnT{8Y%akq%v>+-UqYx%clmp!Eqn3A?pthB z_R%taPhPa5%I~X}9~I>&wt+b%DRXwn{#;q-o+mXfiVJP;#4F$?4DN~;6wg)^eH1i* z495ZgGS}~|;TK1IQ=W$?It%zpMSxk1)AY}+Asl;c(+7t&L@s49Q7r|ol_-i#Tfojc_0N^2k*OpvUg5*cIu|9&VD?!SB z5~PS~F9?SfRY78I7KHQGRgtXtokBApd<*S6c(4M2c8jv;h!ulyKl$tk_v9n~F^L>6Rrfc$C;#8@ z&qes>?8y%XxKP7984W!d4LunRJsAzFdo=vFHX3y31|7PQ9lDVnx?v8Dt0<%B&<#3t zBRh1%9GXJS9UF9Lp9%M&I3VdAa7@kx5;l+5Tul=A$wR~5+i9|5q$(0l0+IBv>Kf@$ zK5CD&?|fW98O4X=Chc)bG~AQ=ILMqZQnp#RM8gvi@_WOdrAH~L!h%mW{4A9uc@0Xd zhNqxCVYS|!o5SDI%inJhT(f6Bkeu~+B%l%4BS zRv+SInzD0!%F-9|qEUpbjUXJl5X6k^l5yBkBr>v#lmr$H9VEc5DS_K=QYA~kfosBf z;C9wQd$ud$G@)h_Xcat)aUGINY%MLRg26YdC6%?cq?E>^nD6W;;l^P_H7N!8fmo45 zewgyC-bYd&>P#V73l}98$rdHqy#z$tQT;GwDGnLdD8nihAe1Pi&nb}t6ziv`EshlG zCF3Kr_$tUO6e!)O2cwV53c#!)sj42@uO(&e*Q(>IIoGnJwtf+R_k7B-B;Hv#g~G@w zWX>r)4Vq^zvf+9t)NoN6E(&)^P>q>)%1R|XtW5EkV=sO|#e1{r+MI4Wrg*>VmKAvV z$TW6D7HcQ^6wQcejq!t3D$)8{u{VTcVY&#@Ia-g06Z69f#^4FY;E5=Qj}_suEbj4uQ|Sqc zdxGMg$l{(baZe<17?8x>>f)XZPZi;*Ebi$rQx7win$R#cphb1bF8PfL+w(j3{~(9OGNN(8;dDWcOKe?4L3d=McZgUUf9I1Euu42 zB55fl>nQB(Sol#&H~4e~FD@*X;~~;r{)ymW@#?0+16PE(G7Nv05qojVrTaj4UZJGh3x%KiP74|ffO-13XY*OlW4o@eqp~DxM zI3Lns^m;#xo(azu;n{o`eL0+|hck8I9tx7YWa*+%V$!xY*Yt9IGrHKB*@k{ohTBZ zyGVd;R0jztZzw^!O9C9tv}7Se-Dz(46-SXoea00?UPDqZjLsmFY8xsQwYn(S%JsiD z>QS7UBS14{Q5+x?C2g_*QoOwNEg|on;So+X1T4-I$4k3WSr$7YEAFPn5_7gMjTPv& zeZCcKh8T}DXN4GG+6bIrgr~B*s7xV{tWVB7Y#iZ4LuhP%P2G~qtLL7Lc=l&4a+Dr{6&5=C*b3=^zm8x_^ca#Wlxxu zQpA5Q#VN4FtUCmPc~+j>UH(Px#c4L{Q4HsqjmI~~%El)n#nhJAB<=8gCHcMZfdVy@ z&EXL~%Y204^UHm)yFlJ`p#U!r_{-E?8NkVMpjp=SvwpkA-V5hFlREGWVJyZ^n+dQL zC%|J}0{n%0kOXZ{66C`5wh~ZibXv08CNS3U7bXTR|0p2tjP5_nD;~!Og_`3dWmVl& z`N&JoUtn6c%*QDg@io3yCTW&Hz|SJ3Yi~;Fh?ZHqHidF`ou-~?!lK=M0MIv zi=Uueoa-kzBjSEhd$>n-manW}Ub2)kFEY1M(JHr6Iod@@LVi8rLG{X!QU}$p8LB|V zGK*J!NV~K6E&m3aOH7)6&0o@FWl58j*T>0S3(hH50xvd!oXoc}?ko~0XStE9&Ve7L zS@e%PIY~i-YCr9So^uyD+AWI3S^kp*+(rsLiw@L3=BoUKJa^hlIQ$Y0x3G~5UMd%~ zn9Gv2%k!7q(^>L)X~tuus+nTJ0$RSoI4tBvipY=t9mikFomi-ACzop{wzY}?A#pAN z8gIGcDvGsSp{dLj7E#I?%9O^IYGR{m@}zPBHM=~EkY+EFW~S=-uasi9^J+!s)mklj zF^8VNMO!UW@fFKom3S0lwjLh*%r|+>;a;j#Z|wfqnc4hhg5=&Ufr`?p5~wMR_>#>6 z%6x(WRiL;}Vp4uxEa0DC?rY6m)M4Q~E#8~lP!tNbHpyExi(#bgDu(5|ItS!G#+Lsy zv-uh1*^dc4^I0w}j^hM*DZh?uQ%-#;_v%<;?a0sV+YJ3HtcbF4(FL`JlE}T`YvJof z`1%F&AJl&jmtEz&qMTRjesEqF&Yerev$4ZvPkBL6UJ!X*;vMyQMR_6q7kTrawpW`y$Ww z@VN7?DVG%GlE|}p_V4x%mrKk3qU?`6!|X|)cWrrHQC=5$HqZVM*x~Y3<+7q&7J0Vb z(?0L|@`j?kA@XdV{lk*O<&EX?qFf$%Ht!jq_v-SdqP!{c3~T!*7Kh8%lmkUM5P62R z{nLWO<;~?SMR`l)8P@iX<_(vxEmsufipVpp?Vp1iE?-yPT9mg&o?&hOj@fYe`f{)+ z2P3bL9qeCZ87|*Y-d2>iMc#$t**|J9Tz*TrvM5(Z-U9LLpAi@?-&nq>DBl!$3&mrq zdWG-*_VSLRyd&~^6W@N0c(}Z?ysIehiu^^1Z$C3UT)w$nRg|kD-*BgV`}yGE^6v7U zqP!>a4SVwKhh&G#Z!K3Bc9Hytj&t$a&Sz9sUreEVI`;qu$dHAT55^0WMF ze1G3jzO^Xd8u?lN66e3ITw9cDBj0Sy^_M#T?d3a)@*R;}ntRJyIln09=aki5 z){C-U>uxAz&09eF=)8qUf)C;U4e=hlum<|5=EZb&ae2vt@)9hehi#_2F7abZ`_6Kx zD2K@BT*$$iF{OQ1`OczzXEQIRwC^t073I2SUQB7Am;fd-C$~^70a4+~~bS_1gyVdzJmiSbC;+>`If z^rZ9M-G%Hxq6!Ttbkf)4db`q{`N7_SY=6R&zmtC)lN>v4d{uSLgo%?TA9nZ=M;>+b zlw*#aI_{Dy!%$+xX!D**2T(tO%C1;*>_BrP+UABD1%Ja^z zTeW&k{n~XGG+cO5v!L9W3gBiMOnP5cysa9#aoKE7H=!Qruf?8>x#D*UtfGf@r}hd z72jNZOYyD6w-w)ByrcMz;ya7)D!#k;p5l9p?<>B)_<`aFiyta}xcHIcoyCt9?<(G1 z{8;hh#ZMGJS^QM-)5XseKU@4<@$&u{8{nm#a|SES^QP;*Tvrye_Q-r@%P0)6#rQKQ}I8F ze=h!K@h`>yD*m!7xKLaq8pV3iB$`EwxLCA`HqkCNh>hYBu}NGiE)$oFE5w!JDv=gf zi;Um{dC@1X75$CJW?JdkCs#9G4fbBRZf$~$>Ze-a=JWGo+MLphMXx+ zmb2t3a<)8G*2+0@uAC?5%LVc@dAeLE7sVFTCohl<@6u`~L51`FOjo;PmBwp=e>_julf8H2r<{+;Ugy_7YO+mH{K4^Rs*6kYjk-h+fhVIbe1^&axPNi+KTv$@{R{_Hk@ z@E-QO$us)$g@GXH5nZS&YYIJT3I$1zQ3J3fz}$P>^Qvcb_GB~t^y>*G%wS=Q_oRC3 z>G7VT(mnb7HG_TL)6@g?-m!Ba>pjE3wV7kPvDyG zg7>^zb$g~~FzdZQZEnkN&w4L139|hD5|N;DORlHO`zIqyul?Cx@8$D7?zh@9jwq*x0ojow|oHWz(k~4@O1mI-)LA;|MvpjFi3^0z~Poekh zwfsJt-g~qBek#3hR+Ve{U8T>V_a2o#m)}+TJi;*F9W+0mGII+31=Kg7qJN&|c@t-3 zdRQa|a-ahtayk(&+ned=$-?u1n2#8il8g0dagU$Q&8PIkGi)5 zf}nl^;FuX*d4^U;&30=ht|DZWuLP;>;{vM**&t2fw}t?A^k*~IaG81*G|(2BK{c_K zJFp`+(7A<6tRw1oW;8w*c;4YI<7`F)^$jjlW>Aa9g#@QRJ22RP@TY_(>JD7KTt9r#h1~%b%dO9;uXGF&|xSfXQt%u#!pgO#0D0j-tfa+=JlWWj4 zCv-rfwwbb>;9r{6zsl?a?&-o{hm({A7U}N6UYM1f((d+S8aLFF%{yv+-KKO)b6Z1u!^Zk_(}wk{>RZYF z9ksrpDcw}RuFg$|9h}%+*SfC0J$>2g<~8+X3CFkQ2Qi$oWCh1H^K1&8N>(Rp!hM}c z9jG?sV+&o4t`-I|y|5bS#yGY!1?oWc_Dp|{6eCD5DOv4JbcrFvy_DH$4BxUA-g(Km3U-*S1%v{(lWXxh1~raHDi1O0=PR|%CX zm(?6KdTfsN&kC?vlcl+FC44k}(dLxVw|R^|ceUnyYE463WAnNV^=XpCMv}s|4XZA! zU)|mYLN7XZShQ#vz^qtm<5Ms+LNupcdw&lsyb*ES!^K zdyLfG)wcQaO)prqG`AAiEm$xozTGnT)aDit3(FE22$sn$kBjBa^wIO?L|?28c+<+h za6EemKZiRj%HtKVhZqpc9pVW{ALdBelEgi*Q#HSJMQ-WVO2V-f!a=2DX}z@^f41@v ztKJ>YqVk=!OgL%uOi20S#DED=^7mkPrZB}xkxUasYbj;L3efNR>r=I>dal_>^O{TG zRbB+|iF4crf7(Q3r@|J!GVT>>^5C5H*eAW_z^_llwhQ&qswZbeP)cF zxHEn6#(=nWH0}9cqOjpiYc`{}GGDQzvA$_t`vvK>)KTIm=#^So!SFC2NN&rTrV0!w zGpJJKkZWkKU!Pu8*H%9-NBG56Cw!Is#O0R9(hilAN6*b!{!OO`++<&Bd$u=QU>j2C z!x+f+r@DJnT^*XZCJo~OGvF$YdGL!io*EzpyhLi7Fa#~=U%&R=@CrSr#_Yd{1R(GK zgCy9UqPad3?-j~Jm}8aM8!j8m-Efh3=2qlwz*=w?|Mdrs@hPJpZL@v(L5wz|ch)qt z!Vzw0Zc4WX1FTDnZZPdp(#V6rW)}Li$)7zN3a`vWhI|4o%CCSO$|f4>{9A@wYqlr5 z9Wz53O9n&oFVvuoA8h41$tIXbqh=Ak({Owx<5Bf_U5j1-4Q39cyI}C=gFo{zBC)oV z>CNT`3uP5ayy%uFl(;!Vt9*DNO%c@3SXOiTD8Vlb5$56z4Xq7L>%zz{ zSyr=Xl+YLZ#m@vz0&!zqcA%{jegcL?ssq2fG6NayAYe?Vmh)_cylMPcnJRGxFnY8{ zO8&C}0NH3KJKfxE{2*pLrp@eq=o+Rpl4A+U{_Po~?CBD}`00|KLMv?U&usg@?Q5w( za!1b`Wk=8QWka~5t=Qp$0>)wjO^@ggct@^j&T`neB%|WAntLckOYi@Z36~LuVMHf~ z{MP!?VS$e5DI0lmN=X|BcZKaXBrS)MjJnNr++VZcK@&lq-c@6=h6>QT&UEdSO!U}; zvQ4>&)aK^Jb%ZSkc?h=Bid0Ku-KF)d>DA3m8|z!!u+rPyR7d#CF>C};l;=#Hb1;pW zSZc7;3^_x*5faQM&mN@^p5qrE2@9b+6(IT3?V?%b{=5>^qNgXQYl)JoEvsPWfXi`| z)lFLvmFTMJVu`AM+fHEOeeNhpwbU0cg-I36frwB8`Sgx0`E6EIFlueHuZVWWiDG&W z=Md?|^K2K-*?0j3qEtIvHMn_${j}p~oWBtc9KnVjrus1Y9Sw!lbs6VV zBhihOI6X9L@m?EC7*chbpP>GEqa@Jz{)RAp%*+Smp$3#9HU9o8FvJvS&%<|$S=Gq%OK^ksNPh%$?`q<|)?M@f@4B{W%&RWHK_qKcKm z?p}?~NS)k6l;dFt!qRJeWxMw^KgG@r%SrtxX|mQ&nVmH?CtsiI&Do8$g`_jqjS}<) z{;R?gSVzk5M`1};_s>NzVFo2boVNMGI&M*#W6tc5m(g_hWKcswG zmQB@`5Q)~WczB?|8m1i2C|7UE^lr}bQiu!C9g@0HlifPlTf6YzhsB7wLLmgHeD|=N zNg>=k+okyfy>-zjWwOyPeln0rqWUUC8E)1xlIxiLXH(&%S2p!NgMIE3htY*U~8`$VH(9qOg-`d!`dP942sFf8d zEZ|)-$_6$$4WI}0t1l?&0D6EWyh}&fz-4X&?Uy2oD@q_D5mxaoA7ukqI1Q|?Z=&@8 zULa1lo=}|UtwbQ`b#=3Q-;E6D+st?nB_PtD5bT~RNVDeLCWf>D;y@%~s>T5 zI0X0qGjfz0cd_KyHcE2z`o-Ub$zdZATykK#{qGeJUL0`*B)m9cwZBHfSsdx^Wj8!n z1<8++5`F%O(U@Mn2!@Dlib%S4lz{vFSAQ)aaT|7(I|rbNAaezTt>Ab{s=#S*U{xeb zuMx;#QP^y8V8f$>*2fB+IJT2QWJ^K6UZ>Leye5cbK&>G7nrPG#yx(%lHg?6GBPD3? z+G8%Y0K*=tj=5H|Kc0UCjyU&&$)*+RE^@dXPu(qGqmowRjKn~;Hdy2*Em&<;Cr=V< zV}a-xD-L72sCi?0?fg_T$L~@Y9074+KHN=&V7sFXSe~-+Mm<7qz&ASS5$s>+qc^FG z7N=E^5uwYf&d?tbGCJ;u=a-=L-2sy6Ed!KrNBG`k#E+-^xMQQ<@+-m-z#VeG=LWWYbEox!_5mA*}z5<|C5Fqaj zIZ_x#lOqCSk({5(%}2+F~j+9f_uUau_qXA$?ks&J9twTep1Qzw*fw$5bO(yz5 zlR%T2B`{2hpuUr7Xu^au;udKQgD)7oZgVQ8U#+RLuvLSwSQcSf;wlRKa+({@oI7`J zDz^=1^C-4#XR0T^BZVYdNug@grc(uNqK;-XrBgA?f>Y`cpi`8I%@gY!#mD`NxOvDd zQ~*Xo$?Fy@Pz<5MJkhto#%4Q%^NFzZf0!sp(FvCG@VN@3)cFJcO>cH|en?miG7(t~ z#NN^CK$vdyWCC5h1N_THxiE#!O*=<2HNpor5^z0vFKLA1atU&6D({uyMT6b3EX1k*r#LdIPX8}3WAu{39I+!gkO|&r7#!tb z-tHG=Fqp$5Ce_`K4efz^FnS>qBnW{KGuoFbZp=YxtF2b5h-JJyDLAcp7<8~1>y&^h z+A&J(uk&xgI-e1Ey^Pq1xo5<`PpKP8((uI1FvG%qwqK9f6deo4IW=0=w|&!nt;IYN zBVM0Pz>jb=m7O^2Nn=g5UW|`f@u#vXl(7dP(<-1DJNG(jI&RZGR3q55R|vuM*+$Lm z0!p#cOueRhq;ruJ@tL-_*tesJ2sLW93xbBZm}$M-#s*{5ZE3bDzz1iOB~@~q+>c- zX5CcVL|0%()a5eZ<|{VFc04pHM&5hP33#{;l5PWKX%Gy|6?VA>+$vqYTxJPotIS~` zwLfdmu(sV1R%ehHJz=eOSsMXq)Lnu|lvJy%!co_y0^z-eufS-@;M{V5rf*A29tC)2 zPou|x*V+p@sE&?^Qp8FZ^h6oby2B%~R_$~i5^IKPStQEuP=>UR)mL_VMkzW=ekG-7 z(WcZcw&=5`8_L6BDcv>7Q^Ubg!y##iT`Whf0^cj(PT&IwP^-JpU^7o`ms%T9dRPKFy)6*0a-R1~9a zU;67~+job11th{WhKv+%8Z9O_yN8=yN)VMRpiofx)b^`K+x9KKoEVUyR4I{Xr3Y?E z&!upJAD6cQyK?LaDb1>_mpw)7?g(j*71veIvI!=TQ*F-Htuma3?VRF1~*B@ZaE1 zvlOaZSBD!x*0j|(Dm7Ysm;U8zg);FzT4WTH3?e^k3Mi2O%j)-W$ zZFQGA@UbdYeAnpVzuRG@hQGD}#|Rp6rb~4IyY0pIjJ|>Q`g5X`F%5(}f_1Rs`$pf+ z`yG*K$JIF-u<5RQfICQv9~eF45BewB9yBzywb$3Jp-TcZ@I_e3FMeqB&_C=*PTg9> zwOGKUTs|^-z;`+XSyhifB|A75(dhi>=poL0Viu1;7wGWe<} zQcCR0ij+IdaR*Dx;LP`9f)F>Tg5ohlVGb(A?AaGTK6;vd!Y}?lO4D}4Q9!I!Oh>1R z=@=zp-B^o}Qa2{1!xFpH6gumCHl(BY$<7YxA9hGpmW_CC{KO}Y^LQhNit2+DH(RcQ9zQ{!xx)!^8A&tQ|yy=l$ z3q9f-V*S^t4Z1}Rx}`i@S+S^6D^hha-V?NgkdIvqyk;S1}XUITGt@e zC>$yru5NOidgWBn=SR<|FN9)dlvFxVGe)_)F*E-rM)69uWm6d+UrS4+n3>`iM^B9} z`8WL|5Zkr+9*8W7?fOhVUCVOlwyxaa0PU^wy$7AnW`SLN8>tpEOJtUi5jCb($pU#^ z3Zdf>5IzS%vFnRbHWeI&$ZW>ljtHVp(SEaCB(0-VUM~x*WVIb2BZrR5JNr_Zfu$)l zutu*owfEy5R9?JA1L0=jf%NHC$uAfl`EKm~q^ObMS}>;GI0`f{=f`*xHqDIFP(hRE z4BnB`0>>3dPYzFwF5Ju1-ILku>bE#$u3218CTPuMRBlmqH7MG@6Coel`g(Ap1bFD+ zMn@Q21sSZ%>V+mcG!S@orMQFdmLd9j*ri4$DRyK-FQP|!_?l;gfOhhN0j>3roZ2;) z&`NIc%cEBhUvcIJyjZ@5ZtZM9w`p$h)mL_phrZ-MEpL3yKf%sv%*@@urrE*Q4*~W! zoaw=u`qd3>bpMUToTdlgJOt?9axh=jSl4us0==ePQ{~%-0Q)-*=5`KAWMSw;m+u|| z^zZqnSem!gwYK4okk!rW*Vi?zak@a{`Tij^@&jkqx3;nQ5(hLbas2QQK>x^>KMjl( z?N5>~33W^x9H~uo8fjotY5-?U^>rG3omj!eZPA0+Z_4+kcHlmsY?rpEC`O?q=AtBh z3y)&~XtXuu2T~b=%Q0GJfRSb93l(Wnz?wuJ*UIM#=^!ip%h1qRlefH zOer-w&}+77jgGQ^lwZ3kfVe3#OQpi0Icl{c7G&BP_aI#)Vx|(zn3-t8jn- zR&8G=u9>9#O|+_Qv^^t%KlA9o5Bv#7%F3WZI$3jzwl98s2;<-@Ic7tl1K`bOf`R+L#Gw#XLxO07BBP8VW{iqArn|K5 zth-BW`spFC>1Y1Urv+?UlPlmm1KDl3eTZ4qnSyY3Zb@}z`v$h)E)7Fl`aS|aJMhYw zrKa+zqrBJh$98RznW?6yG-?bpHaareM6a6_(@0EsQ|P8P8!ix# z$tFeG8VpX65@j@M0hxwtDu|1+9I;7}rVwtlz{wj$W8oXezcb^PsfSQ|DxE)O`RNpt%&FI%M4~6qQ;EM=ip{LyGwC z7M4k;qBVkH~!RU91LC7Nk=-0zdZ!Fzw?)dujJsR2r>(N*?pyMq2=EnLd$>f&xvWdW%Wqf z{o^6D`zQb0n09d|$VeLgk3(qq&;GKQhC%QC5z+cT525M5_~*woy(Zg<=;nOy$Y}mw zhtU3CgHI~JQeip8Mk|i2?f*W6w*Tg@i0Ql1KZTL-{C^Ii@xS|ZF^$vV3LOA85(NHn z2nY=MYs(%@R~x1g{#1yyHfSkFm@g)^kKhdCV6o)8}Wy+!u#n_P_UxZESF_s+YwpDRd%NP zXhhKy5L}-eV?-%{@R3w~ayHbHKr296tbE$8r*`R%Igr{iJMCmRGWND=PHC~*ttt~b z^uJEMQr)-|+)%I6FE@x`KL)oMrLy!jA6=N;{(Xlqi1+(9eJB{jbYm7RFKmMggRzVD z`UldqV*(D4Ja_4xCIn-XJXCV&NK|-LB!WFY!`R=AEyATZ{*jm=7^ z4p3%Y6t1P$2U#(UptxDS4Hb1f0*HSYeh}SSQpL6573!5J)nK56nzDv8)KHni!&p=k zYojg!7#`q=O{=pS?T%x6mVP8n@ht%u#ZK?}FgFhu`t+o|D=%h`n8({dzTzG$ndt+E zFv1V|*Ej<_-v_aDaOHE;Bf}LN&LcqezC%FueqWp#;L2O&z!r-OSo93*9^){K2y@`uebc%ep|Oa22ER4amUtzzn1#PO4r8(ktt|2s2DOu0^Uq>R*c_bwzoi;0%kR zV;_~$w@d5QC}K+KFa%T$z9FfXVDy1u6~3XW=vt133U2!_L}V!nv}+0V*@H2-Sg-}! z3o{_d%-UNqO(Mh91~>|o#F08(TfmYk-Jn^Z4=$t&1DS!r0(o8lzkqI_U`kMD1E@U2 zoW24S*-57aJe|0aV;WUfmBw8FR!NcrwYu6c7+0|n->L%)0N*+Rk)8n$U5^eY64yrM zo=2dv3+PHxbZuF0Iu7Hg8}USsB0er7OAHA$q71PmYz&Q9aCxj82R%i27n1txCS8=bWm}dW_eUf-B80$63btxAcLKQ zt?Ce~%XGzp1ImgFaRwWi#z)5$w++GayxIg)RRKy_0PVGt;z;ERG|_?Rh4#HjxYP_l zmz`7I0YKYO`S^?fLOqHb987bFIm()qc}EtMroyE|^jQ}Umf;YqGM{$UH`3Tf>#xI| z_ybv5w6E1Ns3tXLDLn);gla{z>5MgyIoh158g4SYKY-p^{!r9KeJ|gblf|b(QlXMG zyWlw%O@{f?*`PbsSe5BYtyw@{Y7PZ_AdA^#ZSEt7V22*{<(R+@In?B<7_=QSdPdFF zqqYZ}Wg#s!GR7VU>Mq29a*7TBO^bo*)pnpoX_xSfw!9^XSzXY`@PO2)8?0K?bT0vQ%Xlm+|6e$`QA;uBm7XGRYaW6wa~-HqIM>=0z=asTFrOJv9l5p++j!E@Mceh@KDbejj99KOM> zoapeHA=pEuK=BYA$~qJ%Zwk_)t1ibM`lSAw3$bEd7HWtJRn)hXsiD}Jq@#oBq^b!W z!14iqLtBk(J*88?H9f%k#S~U`hGx9hy3pk%Kv)qwXw#aGLKw1$qoVrSa^;3|7hEg@ z2g|5m!xPnVBqncZid0wG%MX-YX(U`nN+a{m=O_c-IKh-)-y+XaSuqvL%ldwheinI!hmo zfudp|H^xOz^w4?eO1|pU9shPM$dQ15mCa=D(@={IPX$9AV_>xp4Sq8u{88@q2)ylG zkP#luDiZRnj7en)a*Q@dKe8mN#$rO1G@O!?9D3S_FJ99?i2r6& zq^^xzq8kMCmv*gTSL373SPdSKa~QP4Zus`*7EZ-)J)Fp%hiOb!U2V5fL3RG?sY8&} zr~Q_|vaibGF2*cfdywy4mNIvU8A(y!qENYd)H~;6aRPw;(W>Dyhk(zsEK-o>YmPNx`bMna$9V6r9;<>rom=ww0&!umudg4Uh)&}Z)!F_XP~aFQ?6p+z?jdQ8kzH#hQged!f}hqDGS7B2&!@$;AgV`IuhWMO5t;k$%Ge_>V;>cH03( zD3cp4afH<+qnI#bxuUkk{c4XQy^5~my0jyUkS-U<5-Lx{L2-5=+|qr9;q`|MbPz z18o^5Bq>JYQNhMy#tIs}@^hc;o*Fp8Oki}Y8Eoqfy_migJTsbOJByvh`*PeSl;9&J zblxZIH^IGe*Ew;qBA*vQIhru=^qsESGoGDlD)?C0%5Gsq-y$AzZ+B_lEn zdNZ6@(3hbr=JX|%Y+rOHofN_1V8>v0ceZ~ql`CX%WJk4@QmeW#B~okpGdsWsY=qDn zS5RRqNeTm5u#%^E=sj)**Wl;bJlwj2*mc~jYEy9f&9sVM0=;D^n-J<5WXJRjn=+A} z1)2C@QwT>|xH1h~l-=2p&-8b}5NyL2ptfggHHKKrX5^i+PLB{l2N=LRwoeOI;%s2J zQwvr)v^<$ENa>FB3c)wKf_@TyEGRTTR?>pEh08)R18;`fVJ*8OLs5O`^r;I@r4^u4 zXQ{_R^;o1Hi`C-{^;mK$)vl(}XR4I5)Z=XRI7dCsJ#`M3+d86%vM4 z34e_;wk;s(*l>r)V|85}&}JQMZqSXuq7@C*7p+qu5KbtPQs30Um1ru1rg*TRlIr#X zO2m|qZup@d@p4c}k%gzKTxZysrGK-CMZ;2CsOsv)OH+KUs8gN>)1tHIq$227S1($q zb{MLwA+oetnyS5|xphrj!)5hUM=j+NYSfhoz_ViD#g#kLVy3|Kf<$L5K0CHL*9TSc z87wZbi|JwzP;5e1Xx6Lfv@#XfEsd}#zaUiMoH)G6Gvj~eX@{^%j_Lm*Y;BehG>!m^ zbnGNUB0xle#fz4lz2uxT&RP-&Bp`!R?EjD!YTzphEjkPHgi>h$m!rf`q_kyu_buSu za#jy>U_qc>A-{8bF%p=m&R~dwbVes(!z~bi-nqnUu1M{H!uD(}5gV2awmJe#Pm)o~ zXjKAtn$;BAIj(K#$&%IMLnxVEy_k({C|qivr4Kb=61j-(7>B2gcG&su?SjLExuaef zIBm{VM1y} z5hkNeeJiyQQ%$OUujfrSWlHaur4oBR&#vFLX15_uFb2#%&pXb5iOJsYU{cqEMS_?z z2b_Lz(A#!IEfffYgPwP+jlo{i&|1%*h)cIp-hGs(-FWR&^4CBq?|#pl7RhT-8&{P3 zfae|W=C)?99R#2*LzxF7`R*6yss2L|=)sXi%6-`Lrn=DW0vBaI5<%J=qNKb>BVbKl zd!BM1iy-BG-IVel_q?M+&C(}wDdP#xJH}+_T|OcgWj*PL0HuXL21+HK^1PE{Du+I# zN#&jf?&g||@W(4D_ZbHT^1kSlKV2YSwQr64oL)aaXy7%C!`+iNSl;_PfWOYip z5uc{$42SPd(KfpJ)RdX&G^%mJOqEpyZjLpjPWHSLY@>Y72;$7lEqJETtdc^`wQi=+ zDb9dZs}Z!MVsdA@7;`(pdW|V_s>3}6LEo)q3e-9rR0To|CQ{FF+KKLL7%+1kFp>Ku zOp$p`5gG)|!O?qDWWK|5C)V9$FYvq>hOkby6P991p5{_l-50GoXH*aM>vV^@!5Iz% zVxi|9W*VbOrb%Dqd6R8=zRx5t_PoPQGKX)Pj58cK6mMwKmpE`R<21=*#$R6&UPSBcU8w(%~P+>aTI~O+HR_!>qty!_1hF!>cDrFqfPcQXN;6C4x3WT zov|NY6fq@MIL$bdXUg`(nB*i+B&xdydwHjzAd+Of0|saljgn)NVvHw}adusdqe@fL zeLWnitj^S>@ohP_@L4kZC#cLGC`|g~4(8$5yzI=XIXa+^Pl~Y#F|MRxQI!}<#NcyJ z!aLGPN#IF%dlG@hh;t*ndlP~2FZCh3y@|lZh;<;meTl$`M?3>>ztei0>);)5unznN z?_dI!&|CxKI1S!?lo8`Gc=sm)6RBMZ?Jjr^B*NC*^%cAaBblzF;5`%p8h8ob!->Fg zqxP(7^B#$yXnX+g(Fin?(Vq7ni(uh;2HxWd?+CZgb>tR!Pb315((wtrCmnv4It1QR ziNGOrs)f7(?`dEf?+SR&IL$hKfcLD^Yu5?zo^uEl@<&BRK&HUvNY!V*9-p9V&(m zzxR@p6}I}`KOMAnw+R_#?;VP{=2_W$E|N+F&bU*=HTGO?hQmjv99w!WFw<#ECqH7#Ie)&xNyk!i-hza8q8TYpo@2$i*l8~Pq9&Y6obJ#s zu-}}uFyT!!ZIJQi#6<~jf=$f#aoXa9H_4>2+2+(Uoa$t=IdO?o9R{1z&UE_eSZmHZ z%b`)kRCE5>PF=@NbKW`5Ky{5YXP)cuK4hUecc}x`G0&X0%n|HT+ss9lJHs<}RRDL^G8r_w6n&(E=4y z?wh+@;NpaLSmef0PH!zCuKjES=eMClrMEwFR(ry$3GOT9qz#bQn45YzZzD#zF`#rM zCnsK#@PbQ8Ib{>Zy>nwYr(FspO0Ny)tjo}1%oUlOcR5B#B+t3_lZ#vdq-?OHLF!7- zDiT=2g|DIx+neV(DGh9$m>5pGI^j(YM-6at21T8acuwnpJ`C;CacU>pj|97OdKXHE zZgS+*EOFM{usJXWw!u1XDcDDJyFhS zSKyLp4XKjOEhO*4WOT+ASR3pPw8>d`1@91;RQ&zdanSG8m zwiWfw7F^(3$Gx`&O5B=$zrtMR$SzHVV17f6K*1koigQd)?9_+q4*1?NYIb=Qa)c&TjyL|6hRko=)2ydp#kJ`K=N|LC?^}aVz)lgp)^v0eN5v#ktq%p`!Tqit#)JElN`Bbd z7Uv+2H^KQqr9NW$8$Nd9O%DI2vUggD&KVpy_rZZ2D)mv@FIQ73r?|~KDkhO?-DN2m zv1Z28J0?Dz>fUX~g_S!S-w$`x*j<}Obv|ZCx4GKit1@qt|8Yx~Lg?asZ*urImHmX( z1R>Ky8a2iunPjT@N#C2UWgtFs);l%?QW1lIQteM!934G^vqaioQW^=rsqCk%R(H?Z zdlTJXRPHmrmr@v&KU?J;S3ZwmeAdc|bHK@);QXLcpR@j$I=bYIQ%}nKyycDwyYs5d z8|8n&YBe2R=8e}cl>0>sS;d^e)8p7P%KH)~D0WN+dli)RWz4U7V%>F~MSKP81hEbb z=YAEr7Owt$q_Tqq`fHe?*xabDq5@yX6s4qqt8KWEZvexRQcBSfkZ)o_YO~?LdZId6 zofK6yoq2pflx{pMh#K0nu~Rf>55*G`c&n!-K2$?Yti0LdiAhN@At5Hlz!sCKuHDm( z-J$WsVch)W;o?EW;RFnO1<_S7PaKgHhb6?}6&n&qQgs^%60;fPiK7^kBP&Oph@%rj zNpDQPW2<*h((`lPo}`z^FQ#ynN~?H?Rf)xYN>Ut^5Jy))OdLa)TcqOmsXTEkBY(`W z0Wo4~DgOS}(V{;sDUMBusg%@fBn?#EdoL`q=qQk+0oC)vRS*~j!` zdx`KMKj>a=It`ka&YbtfG6G>hl_t=L0Mh%Nj>a|fWKT>_isKXFgmOI;CsO~dc*gD( zdg3G|!ijbq#1$h-r2d7Jh?~fR6tVMm(4dllBNQq|z!3x$KehM7jHEazAyO5|B4!fh ztZL!48xFpLjysv@HPfoCAd{#x%a!u+S~2rj#YXtO7_wa*6hBsWEdgQ@ijoR#oq|L* zWQpdV&ekcY6kcrrT`Y=R)|@A#0I`^@PlSfqO?SPCM%B>aQNs3bwqBfQ-Vy@ztgEuB` zys`jJ&ts0wwfcm*WwJ@Q=Ua{?y{Zmm($_&faHy;}lXX(=UBsOx&;=R^Wp;Z!u^=ht zCB*y?jSVv5H0nl(iO4ds37$BeJ91k2SVyt&-_Vt~JuOcxN{Z7HVqqC%#bWA7Xvl{` zDLioo_hoUV$V{<>dSk5=jVTx@HdatMFjjkAe(g(oRxv@|%kmDQU(Uio_Dd}s^LjL z4z~!6lXD#5vZOw=VG>WeeU)faqRTvSZc?0`5a)!t?;&xA)59HH3w&b^wFb3+vN~EO>dQnyg(TNhJ83<;H(u82@;&v^V^s!E}E6o%LT}f6U zlJNw8#lon)knrL)RPBnSSe6jWLs}uAq*zIOC}Vg$aUQc_Wr&S7VuZMGzQctC9FH&q zDv%orxe{H7Q{{I3!KsR?@t&wlit`fU{P=E(Rn+B>wzn456RWw$t3sB^WK)ON*bYa` zf+>V}F!Chgrg8O2u{t5v#5OI~5>_EDIS@Uuj`3O>8W2GN!t4Tz88mbsg-?JP#1o8z zU{DO>u2kX)=pG+w;faQ%SeFnNltEuyNPPv-mbTf2kX5j%1NTj*><7w;C){=qON zN9(5WmKXVCdK`zA0?A?H)x~{~H)UbNyI39=Q_h!##x7CIP}LRn1=MypCX5^im%PRq zq;o6Uew1vKd8Dx2O0M{lGi@WxC`8N?8{n` zPPvFHY=uF>66;z*gT zQVj7jJ;)?QIw7tugPQ0dylqullh=oR(D_bAy2B1~Czr&fOJUqY>wd`rT-M3voK}u` z`UR9?yeG9&Th^RY69sP{);QEqHoKd=^-3DHG^ouJ*`(-9h_1L^h;Hhi9i9Z;XiCj`_PKYhBoe*28f7Wy1 z4wzF{=-@Tn!L3%)gz~9}J^y<>EF0$MiET-7O+xg4B$aNq$A#A1e-#&j6PKmadmOaX>$9e`ij2SkORX$<#?4VvDh>iCEVb3Qfev)EveIN9=PIK? z5I91qd*RIJowx@aqAZ07-$30UMF%NM7X*+^*D2CTN~?7v=N>#Um=uMC7$_$`Vmk>} z`RY4Zwzk`;K^Q)X)^#LWR$`#thZQeZSfJw~krw+Lba=vPzX?jdSy)csky$S#K1LD# zS&ZuuOr~wv22G}|TClL%^bqmH&ZO9p5Z9HVlz3H$j1jy&v5U$0Doe(20V3n|M8;${ zE~+F8nHa!KFFY)*m{Z*#goHfZa?|tONwF&-uD3XcTNO7D&ejfUFN%~t6y=SK@C~-A zlS|kZE2>E#p5Y^MC`zk#Ryv?ZCWiKBp;Bt-bKtnAid=mt7Dp3-cV&HofP*%akT@81 z-AyD7)K7`)4y{wNq5{PeKT9RD6i649)#A`YrPi8GeU}eehcb!_*@rGFH(W#Ky~FGz zr4$|=P(x+I{-KZJBJ`n#$`1l4p_s7*?K8|6#9?kt)VSCr&ppnq#mqhUgyY<$M-X1J zLLZsF;Q)7qa|t-VJ+8T9D|YocG9U%S%(N*6*7Iiff)PrN26ZcT{WhC@KSmS9@3bI??W<2>;?#_zRu1t(gBsCGL6 z(YtBZQRp-QZgid=9esULye=VbFWZ)Q13|GQjgdxAypfT4gJoiAAwuU(j)Ju64;nqJ z{@`nk!`a^6Y(J^-;ds7pgp`MwtV{@)%?d$uP`k!Oa--vT$5qXwy4w7NL*K~b z)7rubbpsFHoD^?Nh&NRrvUm$|!P-g{zU7{D^u$}432(8Rh$Ur+2X9k6=p=(Wu5*jo z=F?q|38|xS2u-=jMxTkN1D8t94}mSAqdFje+%X*xJ@$wW$X)4pjwjxp6mLz4x0R=d zxFd#M?zvA-yo2d=M}%HZ8KT!a9eUX*x*;4$Q-N@xOJ%}=NM$DJap$T$@vfwJM?$vYrf4zu(My&IKSc;bCY@t%ZuZw!v& z{e*m=hN6dUJ@EnV!utc27b!v=`QX2$Bk}zBP*Qv#AwF0ZW$|I^QH0{=bg(Br!rl3B zq`1b1;tD z9s1;LRydjGiH|15M-t-Bc(RJSh~(B&R6=f74WMc7W}@F^HEpB>iO|R5$<8}F6^Fz~ z27JU-4o*~h;^RqicS3xu9P;85q4802THF(#fEQ|I#;n*5VZJR0d!Z- zzwKtN4UDD*$dbuLV1vt85(rv}P(s>V;e)toY$BT*5WC3vaR{Cxr;s|mZ;Cz(Gn>^0`)l(D1Q@A z(7QM~B{8sx3`TXnH$tM+S!_y6En+=v>u=Oo8pu zEHjM-n;zH8S zE!3N_{9OtXHY~_e43rT$$l7@QoiGo&rED)DcojEBA$``|P^SWeLY}^vEmMw(5Fk(A z_JykiD|V2(@R|gKP3y{F-39^|6?iiiAZ;b874lZHZUa@MCmU{h19*WVca1~Kt1V!LKENwQ%kT+@*3!A8X~kX9OaXB#3O z8K4mCVb04yzDthUd*a(k@y&$z)-atE-yuo_j(W+hDW3Q)6X83->?2x+#QuA%Tyr#v z{YKinj9F_U`)ovz*JzbX!y%a3f|zA}eK-<*FWm$n=P$fts5SvGZpv%|VAPaXyq@@e zQhYZdzE_?I;s->eIHT-|A2M}*U`JhGv571{VzTgY2|L!yDL1Pg(CZlLq1zS%rXK#_ zngR4WPM~Ncvp}KmG5hq;6D5}%Ktq%pZ)BW8oOA9mfReCbD2x}~ivUG2jNs8~Y^6OD z=#F?{fdbLO;_SuH2{2FmI4ORZ5I?eH3V0!YLL9XI6W{OSiJvm>eqt%1@9Yylb9DHa zZRk$GvYLYZ$~!Ik#PA8+t9<sQkuCg1KH&{O(_b40O4R291TX;=3ZLcV?z8X)U^0BVPpHL z!n6L9k@>Ubvbu;<{Dp9_Iv!?FJAdPQ)YnQ&omt0AfGen7LpXwmN59EQGmk`}lV%+; zJ%kd7PSl{uE2&m!gZOa^ePA=lfWYHY$1z`Oa z&gM1kS6JC%BMw=1NdhhWKS}Z53Gp{u-RZdaI}xlb**)D{Ym|n&sb}hbp(H{nTrIAgO zJl#s@t5!70(-{$`2}8c*;w_B!N;|$7_QM~ShY~POdT1L8xNdhM`t2Al-?sPFlbq^F|A0h!KHoSEB4_27k<0foxl*ySfFU9Z{Af z(`pn1s}zvw@-8DJx@4UK0$j3A0pYb&k1WUYHvm+lj_f%hVxHKS6!#{?UfT(W_F_Nr z%&LOW^_`wLz)ah3n|8B_YX_;a)mbY3ypAJy6q4i`g)aE>#C=I|AR!LMwkYl=cy>aI zJ`7+5SOJ9iJ;2!9ZzVL8Pv|^20(45QCiKKZN%25JJQ&}uc$k1%(z%zEdg2kr=wU0= zPA=i|=m_v}uSE33V@dHyLOdGRoOpaVXr6e2@p;_No=Q1FXgx_|ZES%)e95aOO*G3? zV-KTj3_dl-!wjPh!%W3*(qMxxIJT2Mg-C&$N0xr>(AnsBEe%U}B1A`WXUI%MypX4o;)#TKvcjkoPm?5-!^0ELuoOLQSEa&;k_0`g zd^nDfaCNm_I%fw2^D@o9NT}65%cz(=F=+G>h4_D=#>2iIL@q+*=aS-?gm|_L5ykUF zVLOUlPr(x}FnOQ1Rh?X-?TbX)G5CfMk4?Vmgl-Cf!P}AHak-<1ojdx}kqWKGcZq0l z;x-!lMLrs$a0U@lJ(g3+ebsX{bF&YPzwjD6Mx2hRHTV3W-=+xP>Ek^-#v7-;jRbxC zQc}E-5HH4cQ~Z+%aH3^!$py>!C;@+}==d@RDmfoh=&5nnD03zz(#Mouqs&9$P_ps%oKLb?dRsDQ!E-*o%x%e>4-a}u$-xrNAr%?DEtxaGqG_?W zC37zLbxi4P$(#ko9UZ+bnKPgzrHcI+fggRC z4y3SY8|?K4Q&n0P0?Dj zP%CtcvW0gFaXoyKvdx$KY%^*x>#KCDG8gp3%k-6%e^x`Uz_aNc zC`gY~P=(8L&c~IlN%68LhtpFsL6G1cMlQihXZrie!?o^>ISb1fP+r!f z<4kBPyYFTz>J@q}0HtMjCv5?Dm7Ys^lD>W;6XQUu%+7*L5-H)wL`Zr5Jzmwc)%k6G zg9vg+Bfz3SJWTVQqv?g%)hnO7h{C)ouo*Y=j!nujo=nDbP>v&%A~8qy;(Z9ocovdz z5zS>wkod_ef)RUS8vv>qKvh{&CTpm6%>5~-JAv!gl(BSjBGrt)LXXYFOL;iewkx4V`cd-;Civl2noSmogglaJMd)pfEGi$x%^n${w=Kc+ zKAK>}oPj{~DO~;NGFDn1!>yOG)2MzdS3jnbC6`kPM(H6@)Skw*r^efPc^uU%Ih25E z$8)vgVx0ha0@aE?oPes+x#|h!-2{0e)sH!}fyyUw|Qs9@m{4k#t*vC4D}@hzWi}^#xpgei@fZo<=pDxIol6ook#H z@ucKJDj65niYkk^%EEG;E%J_3~DbA>NpEcD88DDuZp;sat)PqSL{%< zo{O%Dx}9<@m5nT)p!hm2zBa}il@~B{#}`HM1}=U<)I*gQQrYnGDoS6(r7tY?TV*4a zkM4t^#(J*N80XK*CT=6-&7wv#*JvvBa%Bs*5%FTTe9?Ij+w+(@M>hJm2=C0u*sux_>7M9@l?o#3ujZo~8BrR)Q2iuZx# zWdu07H#-urmowPQ;v8ss1p&4G2s@?lJVpZbN``ucby!SYc37?=uy*;7v+$>XU?hOk z4EU-t?z_C2K!+Xlz=0nL{0zgty3!m#c2FZ>f~i@+NE+zm20Fqx29pTcMZm*!)6WC(&D=zH#mS7^Le1D^b7nC$<4$Kr(pHY!+A{pSNN)8f zFIbQY&H$$5HNIaXd;BAVWZL^r<-1dIn?F94?d{5T)yQ7|n7MQ3rgGc*@`XaKqi1KT zC%+?wB$@Y*qMY7*iq24P$0vR{xzDc##LQ*{tk=kE{fYR2VEMjOW?*Sb_WOrXW;edT z&8bK#Fk)y8XK7WT0e?CH-q4Fid$JVup6yC?^0^{vp+*k+hf{&3{6H#`>gwQTw<~OP zTF>cSot(VGKTIRpf!Q!8U1yUh?tznb`iWGne3f6FG1s=rUH*i@-r(o;eqw$~?)Izt zaV5oeOcmt~eoe05{<@L#i+)YU#uQ88;}E6uTXLD3{NN)k@@8tK&wNKkzM4zi;#b?N z^W?4mBwTs1AV|24%e=;SKO-Yw>rWc&bs~!8>-;fume$DI(Xo_#y+4+0U`oD$SpG(T zyjq1x$v4sOH~UAJwHIPO9b`($w@}Vo74O50U=F;^_b-=k_s6CdELfS6cliD+`3`^l zJoRmR`A$D^`CR!f-@j76+xO?m_xOHFzSs8~<@Y(WPQY6LQIV0;zRKL-4Mp5seybxh101!QwT-wO%bCD%+@wf_76t_?eg^x@}?q$;$wal1u3O+g_QibpX|XP zm7gHursOC6nS@q-FNZ^9@#*$dXnBipsF9!YPoz?-GF_=P3p(>%*;LMH09o?W{!}ze z_@ni7wl=lUH8Z|r^8b)0MT}+xU`Za*togeJ& z0<15oVam6ypcnGXehnx>T-Xd*_zFS$sy|I3sqSO}c20?}QHifJkjXN=e;mb82ej_erfD(`DjL>=yvi+cUg!c3ga@6K7-n zY+CFW05}(GKGQ7RLSOJD9L~i`+6gw-9_H4isO^1NyecV>b3RAHV6eD$TuCYZ0sv=Y zZS63dUFhR9PyT?`yS`tIR&tq+o@_z>kce=kEog}VKkP?I`2$b>undLdkC}Y&G(zp4 zaP1#gAfo&!L5Tm50s#Ds0sOQ)ndQ&9zQx@oH0u9?>;JqwBjhis{#08(!U}-+6@&O? zMQ+Jo6O`#CP+Wci)NdHnuZQQW{4K!?h;Ff>)BXVTI|lUI3appECkXE6A5iTNTqGRY;(LMB>*gEvQ=pA}N^bfr#whz4|UN!Vjal;UABM8aQl(>bTGsJ88Ia9oW zpC^mA@N<^9gP*5}ck^?$ct1Z+6(8njt+*Ue=yg(kq&jvY_pBKvG_<4~$fuD`?M1HQ9DSkG|nfz>)v-sH}XY=!7S zIhUVpay~!X3-OXL!MZjxv5^HO;ZKQEI@`FXiq&d)34N`77`&*$e= zauq+*at%MPmTUQ$kr(i@Ltek0R&kvOndJqP!p#D4X@ z{eXJz@Ie;=yeugc%>fO_8epn4V`f*$e2d<|}w1}FAHj6Jci zG`R-JOLRAOX&eU*Dqw@UJK}x_ziw#zgAmk*RQ~l3t0yRQPATNcL;FxvgTCGq%lE0$ zyL8eGy0sg1Yf`s%lkS>$0IkNfcC#nW*WKEssU-Gc^lGrL_QaZdpcMBouP+Gb$f{TD z$N2U{V<~{Dq5WK=We-O9y#U~e_DH90(ZFuiJ-JOcd86)$)IE9ieNbliLuqT8z2-qE z=!c-dJh3SPa`#@07f)Pq57hP^mWZphM7&m)eBHgA+@&$TUE}b2jl&!EL*aO0i!Ob; zF82Dp&@=m>V?5ClpEV0veI-Dl)jToq02rqQ>iUOj4r(^P@e#$qH$AGJgO91_n;%!t zw>+VqZ+%idw?CzxZ+luj-~Nnx-tnw@zT-LAB2QeWd-TqIFiS>U4nPfPYVOu@@vi%! zB0O=u#`)d48pNTfOWv+a-k>GuJ(~Vf_vI!nx37N~-FyTDdKAj{F(~lIVHlr4>l*Rv zpMo-f8VdUvw5XBY{TwiQULpS87hoJ;go1xb{l4v=TFIYOb068%6zn)XXVe_@#LZe2 zz0VW3>DTvr;&%P|0Z+V1cjSGVqwm)=_<)wG59;21NcZl;x_2MZy}Q#BZ__OPsHV+b zdtpWP!6NL3H8=oEa1d6&6Ytd7AJr}170A$hWWP5KiYGo;noOndECGf#_rp3JfTcPJ zt8|}SJaj*BcmOy&2pk?_96nZBZ7!gFD$>Yp8pXRcic%Bht^;W0AX?DGxaEHM2@jyP z2jNFN1YhA{wD<^Gd=xD{h8DGKe(VX@QO(|uKLyO5=27)IP1_qaxc6w<-mPi7OH0n} z55X+zVervMU>YBVJLZWmX)K}SPeb1?K+@MV*LG>hx84V(eLs};1F!*hOewj!K|{Pz z4=kw%)=hd~6(0xKC*Ux7;#+$FU6bw;dx3za%P054K6~POntZ$Og*nwoy-61t)TDc} z#_TN`v%5Xi*Qz70@FP4w}9dupg4^bP#eDZ{V*91 zu!%4_aQ6XVaS-mUmd!gfcDHER{M3VR#k59yug3B-nz|p-4EnH^q>t!faOV?ne>G*_ zp)udC)$?bcflIHk|J-wMm!F4=_X6Cz7va)-Vy_k>xJ3qQwy3-B2QeN%=d^I&pi#P8 zqa-y-H$93Of=1`mUBiFJ zBbbpq3h(tXps&06No|R?KZ$PWZr=JdDE$mm_*pHpw`peFt-B`6ckL(Ie!r-h@OjOI z>orDS(7pa5qD%BJUFpe9TIRNR^0MF+#-1sM)T@G5WA~BT5u|nnuie4x7W?WYlfE~0 zOmZw<#(QLR(&puA^O7+yo#v&>yku2P-y26S=EwM|WQ{lE;~%};lfcV(yzCjH67Egv zoV{c9%f4~?W&e2na-a$?ROw)~s&ros5~`7K{{*~n)&mn&)`OGK0+oGea)O@^AEus< z9Il>^9+6;79y>DOdxX;CN8yEPJaM#oJ~<`98BZMp*i_=_V|8=SOr_?i;b*6rx95&C zZ_gi3Z&cxh6MO~g#p&w#(uoSGf1aeCMB9^6!Fy^(@IGT^@ILco1(3?0JWE5TAG1zz zew;Gf`7!%c=f|nF&X3wT&W|~BogZ`OIX~vjcYe%Y;QUx{n)Bnd)A3^*I!oxBzK|(M zuL~EcF4Oy>#lidHGawkc_!&!V@g-*lMb11cct7jx;Qj1#g7-$uEZ zXH{|>%V393z|&RLW2(pc?*CQQij(eLCyc@;BqJ9e?BaOQQ_^8G370zVY?U}R5W%Tp+E_77i69;%f_RV!P9qVVMj|*ZAonySg40L@ zr&$r4W<`+liMn$s+fY}(K?ErqA{eSpEus6<0%@2Axnqf<)EXknG!`17vwj0Tr?H%p zcuXS^qmon!BBsg^vquTE3UV6)T1TKJ&=8q6bs{w|iH8WiO{6!lo${%D3_hKRVW8i* z=Mz-Vo$A!c1gbevL7qhKlU08Y({eTKIDW%uoOaYCl{J~OR9R?GQ^LHdezTZNBe^0P zFbR!ZX}&>Brddq|KC_r8JCPN~iQ}up@qw6}Af}HM)0LRuL1KajiOF;llj$TT)3umD zCrsC3FpFN*D2IBOZk45aqw!|4XVYtS0!U3)`UgGJ<@Zt}=!hu_4!iZar_)KrPqz{` zU3ZKsDOGVEy^beB=u-Ra+dU3^2@D%_O`ze7&6j>|%rm~pjbqY45V>*os zjW*b?no`|V;{wv5+qc;yJcJrI?Nkr2N*u62p#nfApV3finzHDP*iKp8L(bwJ8eMMa zz{FEsK?9oW$_2=Z6U9kY;-rAX z6%qfx^1cSl&Z{bSax!Q7HJLOGX`$lvLT#tXw4`k)(-O*11N|Yb5hDbQ7{^E>MvNG7 z#E231hZQSSj2JOu#E20ijyPh(5hF&O>&?|%Z>~4jJJ*|QPuj`kr|B=#63T$@`>wV3 z{?3_mlC)2s2k&#A2iS|f_FjAKcm3@3?eCkDYkERo3UZ@(0QAvO`rPGUlRm@&Y|?kd zJdPI(NGyFRPRRFD$~t*wI`yzkhfSKMa}k^}eSXTokNP~}b3coFX@WjG79^{e*#eoR zj~O0(t*2QFL|(<-OES5TNv7|mmvWi}h=Qy2EnZlIaUx68YA|Y_9Sj<)BLZhu-y$3# z5L-Ky`FR~gkWFH$_bTGM!IgrQ&<|>yYR}m#wSzxYWEJIqZqH;y9 zB1*1eerc{^d`*x`%2n8Du41`Uot7AYh{yQ}jo%oq2}_EwB**#E&|eSzjPtpnpCR4P zknWFZxF_`6fr=36C%F9{y$F$hk4|j1-@~ns<1OJuin(<*8+){YObh*t*f<7hq2Efl zewJ|k7OTjU{VL(OQSv=4SSOErr;rxNMp|f^&P4!Ze-7||lxY+q?NfmFBZ`qB`YpgE zRsoKqi7ceh$YRGOG-JO7IBeC=ux2l88GF^iB-ek%!ZcaCXEHEwY;~B;CRGvp`k+B) z^9`I%l)z91d&G7roGhiKLB}g7oO$8E(Ban4Dx%+x6(JZ8zv!((FgiZ`SO?rmcl>L^ zbw#)?JN_%evU*r%2OOFP{C^q!e;NIMS@eIBcBG+1Ugo}kZBJO1iY23Hv&crl(#kH5 zQ98mWj)AJxiQ3;X?r&MDlDII6MDM_cokHah)w`Qybrfm>ABD0EI}rk>9D(b@4Mn&i zBXDC_UJuI+0W?HlIT2V+1eRw6mS+T(X9Sif1dJjAkqrb|*+gJD5r|`eKy{)JSWX0% zCj_`K5bzEl;1m#u>H&e~Q9!^)0Rikp2%L5VUKwsG!c7^0SA~Ik7%&9Tu*gBfA_vVw z);w&@B+wZkIs-&!Afq#o(HY3-3>Z4l#wel_*+8e2T_A1-h)x^>bbJgpd+ybcbK}rR zRad))^Dk!R8c3zPk3Z3AQJXK54A3M4W)c*{1L=W{N+GRP`N+LQ119qc$b(KbY!@vP zU{VuUoXWerEp~PZatB2-FDA>LnY1fgN*%azaMnX)r5h{kUB(wmHZ_jq(KEoKXTVRd z+ifP!jsZSUxV&R+d$meW4e8N$fb{5;CfHHDl5=$#IKT%7$6edzrHkR5o;w_?#OB=| zr%R#A^orTe3kxOaF=HUr*G>uY3&E-2A`vGoIG+99$Lovm!Amk7&NW$WGzLDNQG zxNDS^sL4)R6vwj+sm3#q4>dqT`R|OQ_?mEQ5pK;WzBUZj!=RyvhWQT-^B)@KKQs>m z+Cf4)NN5K$w1XMi!3^zSg4QTP8`*%im0e&{gM>DYf&SHr={BIvYIvX zVgXrt-W@#!7F$tgG()q_c^6B?}(;3hr+ zc{S~aoiQUcE5t!|Jg(&+9gi@RQ^+b&hE!K&O~@SV#~kd}hc^`A4H@j)!yWZ-2f>~j z?jXE(5Z*f+UQ}#%;QkNG++o{B@HvGvi=i^)<1YKoa90uT%Cg@WR@K8QGb9=s5)CsJ z8eU7S;)1KV;HqrTRoR}avOQNNdm2T1MmFr($}Uh)uA)8T7=TipDD$kMJy+Sr;H+F2 zQS2Q!ES-WqsUGZEoq!C}JOLTRC%_hIKkSq}fmgFa9F*+2%IpcBa0>1cWk_||6JZUs zg!vPW`Q723BHWWP|JJa&9#$LXXoxu)2G44Oy_#UJ&S0<3V6V<#uTEeaMX)0qV7Iav zJgW(I90QH36NTMsg1tH&xm*}vdk2EYDS%D&0Csf(z;2!ZkMs!$p0po!MzEU{dMi0P zGk~yDIEq|Jg5$70>9BrVcvBJHlwtk$u%;f?7_4Ym!mJ^lYl!C>Q+N$u46Ol**w>o0 zno)d(y~YFECMYeFyom2-)}-)q3hn~gGWAog(|3e77varWr?-T)^|01-LPMR_Qm3`l zX>GRg+HB*s*~V*=jg6v>BO5kuWwWeWOB=^AuyJ*wpZ)BV1>h1*!(SPPcb7jXAvM@M zE|R5IhP{iuJ-py?kuaE3$U;s{MT%EV+%p_!Ej?|m0g8$u&M|R#%4zBlwm6(4NLP_8 zc&9R3IUL;H3HOVe&-<*Qa-hbKv@B6O6FUs!R3^P}YuYa2GK|Mg;Qx^A?|k5Ih6H!N zFS`4^HN33|Z_DoYonc))tTXpRL-$)p_ghEzTbJE$ox2~9UFYt%v?r`f?q?M37una& zX4C!F(f#6>l_d2-VjW*dtg{Cu*kzqPwZNOnXO#8FPJX#zY<{^xHY%2;>0AU=-nv}0 zt&2q)dwUt$>#P_9f=)?lFROT5E3RV|$B|xk*%;ehs)g1mMp#e*EXDY=gZy3L?L~Nd z2Kl?g`g&MTkmrW=M0Y*WU7yii@93hwUJt*8F6$Gr>lFiBW<8-JAL*~kXG-LgJETgD zr(KQj2}4B~%4&RX*ia7}OpOgxV*}OLVDYwr@wUMe7<-l3y#oq4g&fWa#N}3YDop^f z4b*J|bVC~4;JW9bNHaE++rX+)^BGt3`@%bl@Q$qJy*=z>eMs6XFfyOSPVXGk}Z6rh+4I<#ch2crw0Z(!Y zhac2q%G;REisrG$(%9oB+}$jW*CvJ0mmH(-5AQ6(J2OT<5H{7rCc_8~!*&zF*+g(Q zWpFlSa5iOdHYIS3A~=x^a9Y_6+f4)~jsZB;iOScT2+k%02as`Lfa4u-3a0>0i+X^7 zay3|oJDklm0H!`|vZDs@jwn!S#S#lh2*ItVAYh=vGmCW4!Z z;O30r=8WLxjNs;kpix9HvVmYLn+R?uf^iH~txgnzn~C7&gdi6NDBb}CodSX_>H$H@ z)!>MrYXAg&8W8ND0T7G=rB*}`NC?3%JAywP-d%)uX9RyFY^jGWh9DZoDH_J<76Q42 zKyJxEZplDy$v|#NKpI6LBO5@rvKgma2xJ@sjjIy{~BWfsJ+GDBXaSf|I z!4BT46cQuq$<+5$7FhdY>P;i*u2HquV<<09Tq*5dyTPU8uoocLHM4uU>~vm2Zh$%# zii$+FHSC{4T*H}q^(VpctzcxYO>ALj11WfcMAV*6eCcj{vUC$x)f+cq(6;tW(p12u z`>(hY`GWLEM72TRk2Hfe!X%Sqi0~|62Jgam=wJIux@Sfwko@Uy8@+67PEBRI+xGDqeAr&E3-N6HOIrCtTYTv>i!Y?fXZDKD zmA!VMNV$gI;Y%m`T!+IKa-WwPri-Z4i|GG&D-uIUu5ZzedfzRfHR)-#rbjn%z;mYA zrMvuL1D3rvW%BMgfTeqzMWm^mxbq@O2Jiga#LG$c&YyES>zkMQ_vC}rYF1J_m*?38 zB3j8vLQJ07-rpXFo%z{?`0XTkBz~ov3JdqN3r6iiWqDTX{fk*8#w%VbEZEc0$ zAYI3fNOfIGR(em!C6GaU2}E#QEK#UIp2sRh>nbsLqkK~vrIT%@m@J711Uy+zw^`|9?H^1;_K>oyWZ3F~Lmai3cgr z6Auy_Qj!wej3>6A4DTz#`*LFYsj#gcwpn6B!^DP$Ph;Dd(Y7(8ZF9l6x!IPUUbZo# zZS!<;ULBod{G<>K*Glk9I56AF7+)Rj@A(^g#f^P)pR7j7OMzIKuY?Mu{ z>;m8B1Y!}#0RHMkzx2whp*Y7-etGDkP9+L>cpq+)qdYw61YoQ2LR8~LX}l<0JI6)O zrw-1Oz=yYxo^*)=(zSQs!rCbuOGK+>!ZG$%Cm`+l1eE-3;JqsGHPsF%+m%d>=iOkw zf&=hEcj5H~HNlxqth9r8kKr)lsm)bGfsw4F4PBg8Me*vZ(qEe0Bg2w+`9x4PUur!-!D+9hSMWC|=UsG^rlRhdl=+iw4O@azQTq|`sx{w0tu%a>x$eg7zEs=9XA zf8MpmKiB=sKXQbi;_Bq^Pm|?cOwxtpBV zTBa=(sm8!FvII*K2N@5TE>VsrP35jJz*{Apy$}g9cu(!i9NXkLa$AY4Ju6z9iAuI**xXSJS8XOJjLuP$O$8hcATU-tF@r!Yz$@iKoLHW&+DHJ_stFW@!Y+S z=k9&(ACPmOndm`rlAM>joSzFHEW!t~oSzT(&kgre&i#~gf0lE9k^@eXbEV7qh47&w zd??HLaCl&Dcz|*qpqvM?oClH|aFU#Fb~(QoK2n5_WI4YSw%5aUt3%MR4ne~@1Pvbr zw{wl{Tw^=dKzMHFal75@4#(ea%Qf2ak+7VP!?MkMJjXd7X^DKA0Jodl-A!;*_)1fe zV#43f3T3+&Ik^AWE+_6T-<^AL{}BhkMmB)9j#K#;6xLfvw456yLY#!7Yr7v^C~>wg zp2w19ySbhw$fGo2UhNd+!b>qsJJ5=DI!Piw*KNO8XGD1@crD!}mfv=m$IK2RqzOfM|!?38(uGH^;R-VMof3 zMp4zsUNW1__d+{p(KrU`RwoLh9rU^#smSBPIDEYW8OXwgDJWYLWN2h%R}zUY zE_*#mJK020Jt7yq70os)SGKv62HUMg6(_wcJpg0EV zRwoLeT?A-Xa#$`5fV=|^>l6Tr>fwR1c@(_VN8uAd>?EOZjid0J;S)vpL`LDa!tQ$5 zZ78523cHEIZlbU|qp&-pusfr$JE33{QHX4y(8?wXyNN;^0~D$gg~D#4usfl^g@J;1 z00pOjLR1eZG>-xbJ_;ycCkcfmj>2z;PZr^m8HL{od+K42p@4=lgN8A)hhXd>7<)1p zdomb%G8lUj7)B9{$Oag#Y{twUf)U36jOs*zv4>#nNnmhcfZ-j88K(dS)kBa~Cm_h0 zCjb|pfTFX_esFd=UsJ8PLt@*LbKD+3uheUA97NhDKO*e~i%7e{Mn2qQui%gcoth#Q z@5A@ldqfvP|1ADU%?9D!~H~YZeAK06GfD6M1yaPVq6nuc{!3U}nV94eP@ByCyA4vOQr;;Zkv{@kzvJ(#16np)e zBF~h7VD5LAe?NS>2%pX{|3Mh3hY^Dr4HM1?@f{()BN^Y3jPFRscO>C!6!DF0 z;M>Y(!WkjHaSYV0PQ;IEu|qotl1|})$tfH{MzJ)aazJ;4<`_xlpq?;?cfi4&f;r-D z?j%_q1snLNsTTe2B1iQGih~grh$9|wD4j<9wd+z2>cx{tfrv4xtH$AY#W#X?RXh$+ z;*Kb)y2~GtklLv%ODL$=)V3_n^U|kZu%+>#3lys1uW+%A+L^4u^WV*nRz+&7?RUdr z=C^Om%ZjwD^~MMtKVNV-aa%TGY3FfLdK$-N*9&Ba_cUoPPnw^W#*G=xD^HSXhs(=UWbsXK|7K zBpNQ}IuZ`w4$`i#oY)02NRtk2SHnYlgbjskL|#xYWkV+?tHjy1~9u}0Gan{N<>N7J@mFqIyC-YPxDedt^o z<+rXuFJ#LSU2JqtSyI4Yfz1m5R$-D@i_>EI6s zO)URbyc1x!B8di&^<^X+pJqe8Gf!-iN{yp->PeqoegQCQg`>1!ZsR)z7l6yP4pw&G z)n2oeQ4P_(BvgrCLP>Si$eQIA0_S1_N3S}3VS%IFWC;~;<~a7+`+y1Qv(h~#~qT>3aj9)J|?6#RG}Q^vk{TSrnZ zxzAj(!4A3PK66Q}Z!Sreyk{Siic3Ti=Ynvz*bynZTbl{mvfPbIXv=akZCR9-l*7W^ z;+ADGx?6Yo6S-FwONXaJO;@V;<15@B|1^BA2%pRT_-A3P9>&Zc(a;~!&>zw8@I=GI z6AcefG{+%%j7~a6CmqX9I+mSuEIa8~a#Ew{q>&9LZDkjzp~vW?aSVLWCvtgQCE?z7 z%xZjiTU;K%SZ8;MFPma7&Lk+si`y}CSfr6A;hM$*y}SI$&aC%kiIsJJD);(2r!Hij zZJpQo=`69b&iiw(uY;%PxSvEJ|8?5g&va&mEW5H|nR|UjyhQgEQC`nl`?1aoe4sPy zXY(qRweQQl7qm8Bgm>Ea&vj;fFt1Ws`{#4-1+9(JyVKhDcV_)UUZt}3hpd-oWP){! zag5P{pG+MUm&fNT9tV?^#UucUY0Q!y^2zM5!%tm~AzLBq_$VasIG&=A8pn{8BnrXzbENCd%}KCon#}+?)OA}7dcFXykpr<57_V6!AJLN6W!$l>g6Si_3-B@ z+YI}~c4AR`bQ1d!6ALFir`TU=Cl*I}C$V^~8I`;FJfeR&i(b`P;77r#SmJuO#9xLl z6yXcm5`PsA)WZR@1R7f604;HVmN<})%L9H~qCz=frxU!yD8Ax6K=T}M^Pr$P5DOZT zDj5!#Z^0Z!@e`B-3VWLmBXT6X{=gOR(*qW@2P|qeOL2np_yGRW0q%al0v$1hc{O+{ z`Wb89uPO9STS!&PR9w6`!QpnBfE#>jtC_L%ii(ltr3y*iqxtp`W|RQeKj5wyDZaM_ zd?^TSaOnR!{7n)5CPV+XVZ0v34SF;zX3(&hLBnDO4S!Zt;^d&pc>)Tu<_X-5Okf*BNW`g;vcHY#tlP&bEG>^~ zuyMNvYjF7myfGcOAI~1Q*B4S-f8~Kwm3XzxgFj*(54`4ia8Q?kfVDMv;I)yUsS9}E zU9bcqArsqsm!+*!QTzGgvN_+mn0MkYoVW<*%R9NT?()}i@699^nicfNC=w+~`=2!z zFP__Y(XZ!Tq*e1R{$5y{p&xJC`y0783lLK29E~1}SGrNGwAb&OyH)W5$tky9+BvgY zcln!|%ik+-9o?MrLc+$=i--wFxq-|{ig!*ep+f0POyr|%s;c0kIjTbHd~x^*6N5jz z>nKJevMp^#zr|k5u$BC?n~vW;HT^bNm8^NAXU+c<{;mjrm$T-74hQSupk+-o%$jJJ zHPP@&;vm!IL8i-t`S?2M>2hgLIB4k-Cx}y%oCBQ>W_1sGy8LAB#baw6fQLLAK;*dy zLh&Gff`kKLF=H0UQch{Di)ckyzw-nfPpuQ;AK7)DguF0oQrEdwK{{)lz=-I)0v;4+ zO=#Ez`WZ4|L>HORF@0x4}}n z^S%*`ohG#In_{Y_$8%?Os_uf$4}@l^P(gw@h)*|R9n&(YV0)!2^2!*q>;ASG_d^D`eGhLbMZUGhq^& zMdKYtIRiKjTk(Vw#3)`^`=Zj(7e$aBW(9uOD)7Vp+{}&zCPwFx#OOdr666Y6lBbC+ z*=u8Y7_e$IF-(|oW48;&1o}-5`hO4qRD^%Zp#P6>q#lki-_H$4i2V^_eFy(K_i<$(Op@Xtl~=M2dI3KR7(VFw@@9)M_g z0HWbz0~+3eqTwAV8ooe8!xxAXL~w!#PDBKI!h~ISiw&?QG8hx?s7UM+1{;nWqloPU z_dnr|ic@jIk*UaFr=HYLR)zzv30B+_2A5fo*>q|70b@GHcp9?$94msb1 zd9I|>4e9R}s=G9Vv-qy3v@X9TpnC9h+i8KX3b;Dlqq>z|Ek;)Is;Ko<%_8l>r_ZEF zEaw)e*_!u&%D{GGdoZjrpf$XS>xf&+RvH|t$6enWjuy_<9^~TL9Q2#nd&th9IlA@N zZvj*k!a;SR3ZYbR7m;cT)QKzHu`<;7NTDOtNdm%^3b$+-M+LTA!?z62)p{+05XQs~ zDYaP;a)~=cM&w=&nxzUJt|&oG)sZe-f)=mZPrK7^7gu$Vi=5AXxFeYz5G*n}+Rl?C z_dv2g3gC4>GbX4vI@;^`If{F(pi;?`!LD&J3pSj_Q9DZ!2DZ*84^l}`pe3j8x;O76 zYiEv>6O}a3pMSd~&8H;3IExyfAdVU+vd?GdY9f`xRThkn6)zN;GA=3!LM%g&?6s}x zVQ<226SP%(exxX+L3yTbv^_)0R65&zmNI~y?F0kG0EJ68TvYfV?Og)>hd|=~rIz`} z(uAr4S*ZdAPSY+>;F#lfm!IN7mJU2u8ICn zI9d-!ttLXlng|VRA~dXt(C{ktD9fLtEPsyXXNRL+K;m3GY6awEq*&w}WdV8AvkNpk znyN<10K`Z6RN^yXZHj}Bc*k*@i(s*N{IwdFK(%H|0Pv8&YfG*vLGmNqSRX~!l^|t5 z2~tG07lgx#svt2p3&MHpsz_G+PN5kPzJ>N3JXnE1yG2=a)QUkkAa# z_F6(`QO)$Ad66JEjU?)!s;pEG9YtQ#1{v-AgUq9LQH9(^7Sft^@b5RBCG(pJzDFTpDbR#=-!yFn{QAW|B8+7PK zcIbvVG=-WwHt5hk6YfKCK+-$ln4AkFY#y(%4Lh|oK^NbG@{$_9XK3)3(l9I@8a9+qkx+x*A+%piUxRbw z#DdSEzFlNY_yAvodxt!A*06>R!}rQQ42h~LVO~wqqGu_wl>elZ<+tS=9}9Ho!776b z+uIF#wlb&%!Oqa| z=7(GD3=M0I*}9>2Xws;%^FOwo)A8kahL;@Az{S)`#=XTe?y>Mt5gy7J_u&ACnzW3I zh8Y(PGcFotTr|wMXqa&)nQ3CoO#;FB(P2+6cm-3qj1tE*Xa%MIs}+NJ(JP&_M#+ni9C}CRMTo9JnT& zuL?Wspgr3aahg!G3A73x#kda1CAOB9RKehz)so8ET2e~mQOtLCjBw+yqMDR~{6MTo zB0o%eR_`OJ4|OJytc8mbi)4$E>|O$*?Wlg3v=oO7Ym{M?3J^*Z(&v>(0gCn0)D}ky z^^)?cP5KFW8%&vaTt)qy~f2o8XhacV_Dqe0jJUv z6!!$hJ(0ydVd9=h;xHhIyVb=#8J;S_Q(4>>!*o4NTWUhX)P#nq2@O*d8m1;Ryy`{6 zt6ntxDOofpkgukx%`~-{c5UEQ(;k+nG^R}>uDp(KvC&yAZCnW zQQ5R%95JSDcllXug>_N{Z>4lK42n3A4|Og~JG7j4ZS2f!#ywTRll?URr85U;vZ8^| zMHo$|N33bwT_T3P0}0D1B-3akRBsq1FG8Z2&WUjvWd(|^X-jshGr7~2((<~9ew{0w>K;3z08#dhdbQEo){di#$zqW|Z zP>H0al&qt$vt!{$Dc#^x6}-5xT#koGcljrRhsCR#3J+Wn=E^YqT}JG^ISqXDD_*8D5d3PL7Tt(6Ws`w{0+& zTM^)qfU=+dtZb$tKzhU?Aey&g$jxxzRV|+d(l}errfT`DRm*2nwQN)eK{%Zt$Rxk@VX6j+aJQoc;7Y#iZ4a+DrEThn{j6%aQ3JuFBH2g(=G$-NXGxYHp`uL0+eq~RX zky6BeHpMBh#Ed%xf_X-s++F@f?!{>~<53LfnTf|Y$I8a1BE{5}*d*=nd^!2O@PPs~ zl+EE0KEr&3;d9IVvAaOtb)f(+5BST}T^Yd1a-bR3^)r6E#@>tPJe@l53}GzBPn!v_ z6(_)BT>|`tdyoWePZH$9^|lgFXmnb#+a@s9@E0ZqE&nJW?u_m~!z&)g2ZfsBBV|?H zRQbqD&Yx#mw#>&V7x6W|RwikdK)}x+rE70W>4=sYyEl~StggA9qpW%nX%|=`?Z>u7 zUMhDMxhRt_%H-lqUY5zrGx=g8slrQ`A(kcTYEmy|-;Ja$CxvUNnU`KhN?y8{)J^Q; zFTQuZiqs-f1Elo2=w?zXif$prnyc&8q;!U@pczoJ5fH+3Pj{HPY{8@Ku*Yl^1`#(r z$achy0_ogc%PIn8mj!WER@lC(zl@}`$7%aKMsC#0+Pm5-_nfUyT$Jw~e0fDwr~S0} z3ChLUeu6V1?iaO(dt_(%$_nNsOF8orb1N0Caw{R>-kJ2pq z$DN#{ph2~tc0$j&iyZA1#o`SANdj&og`PzR>K}7e{z9HR?II3e#Nie;a>2!NL5sO8 zS-ZS&$vvGVUyx=zMyi@A7A&CU8;rw3UZjZp@IP?;V(!F3T|2p4JF%@*1PF=q3D9`U z6<1NLTS z!Y$frk&3Ta{;I^I5VQ60;Ag(cYYz8PrFvuc$Ii^=FB2s9ZV6PBPL)7SVZ@hg7EtCB z45$LdeG-%M>p}tl+;U%Q?xGI!=VkH4$mRSxu1z`hh1{!SiM1m?w{J7_udpJ@#sxjKhLXs=;j7_mMfh6J z+z0jlhsv&UPEpRObw4<#3+K*a@oel+*;8Irlov%_mw3l~UQu3*|3uy#@g8#CymEd~ z&X2sBcn>?Tw_H$^3nFi>c$3b%q+D2(3nQ;vyyMP$QMtG%7e}7yGUdF>%FB!L^2jq? zPB`zy{uxEx)lSzcKPmm($Ms59K!%{Ayu7j~uZ+Bl#Cz0v-&|f*lvhQb?cp)!U0wDSWnbjk9v*kz zHRY0`ToQRU&;H%sp>k>2UzGikXP7|Q*MY%fi4S(|O_f3b&Z!6zaly8dsEZ=_DbEy3Ga!paLiTo`88sFb{ zly5G|H%ES!zr^`(Dc2U|+Q>H>bN!{xe{1=+qI_HAU&fSWspj6YR?aQTxjAKZm-V8o z*SZ@@S##!*J~n4QlHf!5Z$rEXFRp<;rg<@)U0N=hS1!U5de~;V>k>bvwC^m}73Dhe zITv!UW=v_{RldC_-`>oNDeb$<^+mb9nHN*q_mo3LIn>OHDeZg94Mn-3nHN*q_m%G` n%6ByLVoJNW+*p(wn|U#%eSi7RqI_pFFQ&8~C^r@5ro{U<(z~>t diff --git a/inform7/Internal/Inter/DialogueKit/arch-16d.interb b/inform7/Internal/Inter/DialogueKit/arch-16d.interb index 1b91ed88e335734fc9398012059944b708bb6049..fe075f38ff969bd874b9420a2be6bc49a2bf514f 100644 GIT binary patch literal 119678 zcmdSC2Yg(`wLgCEdTmQ`qZu$@SQ}&H2DUNH4P;w30$CE0Y)oBN(#l>VYlT*_ag1SS zAV3n*6Vf|@^xk{#J$ZR~d3lL2E?|1^;r~5n%AI@n?n=nXd;gz5pnG@9nKS2{Ip@sG znYp>%fqqZ@8>gS1KPjEg6biX*xt`2Gw#!f8cX#HRY&z39kjwY_W2(~We8<*o=YXHA zPN)0&bK6nQAB*>Eve~{|?`FRmMKXPT+1{=(HB-~+TyJl-9|iM$+5Ul@>E6t??3f9F zI?$i#EuaxV9W!x4I^Cb`>&bKiz?ezNbb8y)G2^BHPJe%9XFAi>)t@aC662AwxhLO| z=}G6iy9?QYL=_rP=%la7^>(E@^Mkzu+5Utle<%MqCOLN8_^Rrf2@@wxo^sgXM;v+7 z)T56%cG_{rpD_KzlTtHgo;>T6*{9adnLBU(g40f4xM=YiOU^v&>~qdtx@`H1mFJyb zw`%p8`nBsWXt?mA#`R6jEf=@8wQtyX$)-y$yZnkPuS#E?>FDgrc5mL2+j>pUw%&Z- zwf%*G!RJBuGJ-c`K2 z__5;0i=QZdviPaur;DE{ezy3z;^&KBD1Nc{rQ(;1UnzdI__gBKi{B`Iv-qvzw~OB? zez*9&;`fU`DE_ecqvDT?KPmpS__N~Ai@zxTviPgwuZzDa{{!j7m#eWosiuV-v6z?tWE$%DsFCHk~SG>P?u=qgn!Qw;3 zhl`ICA1yvse7yKX@yX&-#ixtU6rU|VSA4$sLh;4oOT~W{UlyM5MM8`bNikN86XQjd zs1`M1f|w{KiOFJ$I7}Qaju1zRqr_Bkv^Yi_E2fF##PQ+;Fi&^3n zFY}2Ni>TVaj|F>ZK7Rl5F5oMVw1R3TqZ6TSBNXcRU$2} z78%hYIz^Yrif*x4Y!NxJRa_%_#5U0@@}f^%EBZx242VIoUF;CoiJjtAVwbpH>=rkO z8%0qFA;nGNX7Orqi?~(XCSD_6D_$pV7q1s@5N{N35^ol75pNZ56K@xHh*ZRxPF^4zSe2 zDc>dEE#D*GE8i#IFFznZC_f}WEI%UelpmFM$-Cvp_VLh5Ja62LZMj~&?(w_{GX{Gz{X5m~dns!mw=G-n z_Ilp<8C|*Uxvs3YkKVWCy9Rsm-hQgmksH{ND`YdhUETrDt1-Xx{oZ{}c5i;byPtj+ zveyn~dk1ov9`B&%9Weu%o7!}eAD|XsD7y07yax%1!a%-1>pkRolV2* z;XUkmlV|kh3j;yYBf3ym))acw6bh0aqXu9}fVua$=T*<>?8#>O>DLoXn8Csp?@9I6 z)8jowrF-)EYXO_(y<_J<)_aD5Yct1o%X`-IrT|T4`FgUwn+LXd&$(&Y>vDyG zg7>^zb$g~~FzdZQZEnkN&w4L139|hD5|N;DORlHO`zIqyul?Cx@8$D7?zh@9jwq*x0ojow|oHWz(k~4@O1mI-)LA;|MvpjFi3^0z~Poekh zwfsJt-g~qBek#3hR+Ve{U8T>V_a2o#m)}+TJi;*F9W+0mGII+31=Kg7qJN&|c@t-3 zdRQa|a-ahtayk(&+ned=$-?u1n2#8il8g0dagU$Q&8PIkGi)5 zf}nl^;FuX*d4^U;&30=ht|DZWuLP;>;{vM**&t2fw}t?A^k*~IaG81*G|(2BK{c_K zJFp`+(7A<6tRw1oW;8w*c-~Aa9g#@QRJ22RP@TY_(>JD7KTt9r#h1~%b%dO9;uXGF&|xSfXQt%u#!pgO#0D0j-tfa+=JlWWj4 zCv-rfwwbb>;9r{6zsl?a?&-o{hm({A7U}N6UYM1f((d+S8aLFF%{y{^-KKO)b6Z1u!^Zk_(}wk{>RZYF z9l5@tDcw}RuFg$|9h}%+*SfC0J$>2g<~8+X3CFIfU$tQ!*}(Cw`9Tb|D0Se6vwu+Pj8_4RBxt7y`1h){`5AG9J99q z5@`_T`%lZAHE@`10CJN}W70=(GQFKyH912g3zyX#KKcfZ@LMiVnHKAS0Zl-c)l|p! zXP|$O@+zTn<+7S1M~}@>{#gMwYqB&;u7r=KFWQ_s`ZkaD=dRYgPpxUFYiwS(p*~GA z*+}x(wqezU^{d<4Kj4x+u(J{Rply%`NF#hE@U#Re;IvRl7sz}3=o>%57gq+Y*L8J8 z+D^e>rgEwLVjuz8PSxgCEL@sfUY;6DbMxlSN$sksuFfw8f^mrF0INFJovNi0E2xDz zDP>&oka))>V(uX-xwj^;6>{QKfU6EV5wUTgbg>X>m zSXyr_$Dge{#Hx44v#5M$EfY=}Jrh#CI5A*Cl>9vyo+(UrQY6zv(OODbu>$nF{`yqy zs-9~$(hTPk_?H*KkK)`psT)?L8XMZ$)6Hws?U&9mq$X2QO|aN#RI`^G&mt6>L#@u6 zhZ+H-bX#_yktbf<&U`9Da&09em0OOar70Ss;DS@LWpJQjAiGW1%gtLfKYj!eI3*Y< z>gci>XrCFQC+Kr*M32IEp?Rm33{bgRxmuw z2a?;erl|r0$_%PhIpiAJ>({4O)wR{n%MpHY)d^oEKXJL`v9v?wCXmW=mf8Wy^HH&1G1m8&PVP0%YK{C@+!PCJaFf`q!_$H@rg6 zsWJO6A_2(z{~!r=r)bvC#CwJE5aw8A_J+&GayMKgp1Boy8?Y9f#ee;QV|>c!N84;) zeh{P0=$$nUt#E`Jnw!$C!2s*hq8m(mlr-`nu$hHEZSrT&hQcc|ks+Tzi}EXAhq8%= zI{%j8)|&0fZpX}!#*)F1{0lW`;|E*0PO=H+(WqHO?=&1=$#_(KUe}_RLW7wD=`I-j z`QXodj7Y39WqPyu!9rO@5-++X3MFpNP;2zcznBn8f-BO`5${t+FW$BOY&-9(+gR7o zShuR7v7!Ca^qN)c>)Y$r)V0?sn>EKj^Oa2BNd^lvcc1H@Y#V5;Z>--~*R;Am41LkE znt7vyKHr~Vp<_{HU2EO?FlP{eAy80Xe;)*pn$QMK+_}o1KyEqnzI}>F3G4kt>zv|(bD_B zWWr^HVHnZLA-}c0bXcGxddfzgoKn)p!Chgy4N1$PB%^L~9rxEPc+fRO_t zYRf8^IpA^}Wp&dQL?yavx>%y>-?kH&c%M5;QZ4nxOJPz4b08wrKt8==OMaUb6^vTj z>?@+3aiW;s!#PBH@jTnbb2eT;fhg4uR}F67U_b3W8s~3>!??P_I4&K?4%-cUGm@i2 z`IQZoXl*+W4kismy>@H{)(v*$I&wX^ft|IQL*zyBteQ#2)P^xMRELsL8btYQCLMya zY?Okt+@GoUQz%}pFkm)3vR!GS3UmNW@QP6aU+EY3MWoV9$4Dr#ZeS??3P-S^hp9e{ zen&$gbzR2!)JSw=B~A~`TD;fB5{6Wr<|n9s-Y5xlzP}+%A2ag-d8h%UNR7Y03Jfs? z+Vk+8VpjBk7x1YYB|fYC;$PwsYR?nL`e^Uu|BP*ME`1rE5u(f@Eh(VM>QT~UO$klb zW7W&>fv94ou)9~IGg2q_5aoCng0S=&U)k<`%}=p2!*WtTN}88HR&$BpQ$`j4&X`6T(BUe8%7hUne$V%2t?=| zF#IRw(S+FLIY4QCYUWilfd#C{CH;s@7|tMDJ3c?fyK1o-5e#6ZWth`~&@+q!8injE zm~=wK%nvD_mSt15B}Ah2D;^#wu!bqeGs@LlGQFF#ycFUBbcdvF)MU2~_SP=^_hB(& zu22X;D&IXUXHp0}&vt44KyO_%N||i*i=PZ+lBm84@rIkVjAXk=G_Nzv!TU<=w<})Y z2WIFovvN3Zyij-@HjGo(E$`9`a_K@Je#Wfcw;@#T8fqB>^g3cF*bNxL%DCgetRH1J zoBW+YFP)Ls(7mD8%B_-2VwI7KQhJcs1VRTiO;HCZ%DC#Yd6YP}_=A_HTIyTZHn*-% zH@DO`(P|7YCF+Go?@yJF@;KifF zx3%oTU1NCRuI-gI(1?X;Ubt&_8fa^&ue%5n(=`F?6#avEF00uv$_6$%4Ky^h*S9t{ zuint!9BO4n3JZ9bjIx1EP6Oyc{pt%!I)ENv3GdQTHgK8SK>MYL=ZX@DNQ70q%SYM3 z6;1=|>zimjfENi}HmpdU3BO^K4P50kP{;ckZ6$qJkveNxO?s3KTSy{$JSnpvwmin4eQlrN& z{xL$0eDC&bKaRuw|BM{v#$7BqwvCb;y?*gGVRG0=1eY9`ZvT4)gcnB~0SPaTSnaQo za27|pd)W;SRzdQkq(q;8Vl<{#FM=Uaqv5=t@ZHt;1KtxpK zmahP46$Hq8Lyi=N(d3B0SS07Ca`O?gr4_Q`za!-o_N!J*+GqgSQDlgUb?eYjDuG4) zci^pbMw5wt&?L~LW(f>aBB<|V8k#WSjJQQw!{7@BuiKo8=~rv&ENs;vES5!Bmbi)n zzntdAGw05oo62p&DLslU+nMUg??@quR#K=Mwdqtro2a81P3cq&v*46E4(JqRV)Mj0 zNAYq0B5ocs3l)HoQ1ZG33lu}BFi-Stu(8?B;Cv!1{U0U@QgnjlJbbRgD0TjTf76>C zogWfbgG@wL1F?7XIuNGbgrz!Axy`*;+`vMVMtMF4E*#WAK*49{$Gp@c%xjd0m~q7W zKS4N{HsGz| zJ%@IR3VH06IQ@)tnobxfDr)-*rCfqso637-c+p^YEDLe!|0#})s?+}q%ox3-Fh}f2 z4rGG&83so=n78{y84Tv|h)H$#V?%o&AB5p}r?xcQ2Wu^kVMijntTa{?Z&gQVL)SsDZbbA?^50k=w5 zFPB+@*(!5bNbS$sGpucQgw+`&Mo(C)UDifG8g-W-5+&6tt8mnHsX%zI;ma^uGC0B9 zpXuAul1Bla+0*DT;I;OG4yvOgq7<>x1wB!QwC?bTtW`Umhs2tpS{8}&JCq^qWA&BY zo>7X>4x%fSW0(|^3-r})Nn`|Vi(I%tH3u5xD)sQ0@Ug*G}z2j z+oje+n4_O*!JDwUIB?PjUgk&n?{St&FS6$mW*puzRl|XB83@+PM zOEH&LD_7U|b`1*&M1}S#A(>%=byDJpAE9fo9##r27{iXHE8aRnupsH5WRM&HQo&Uq9>akpd7HrxqJ zzl*OQJ^VNL(=3JR*45!|kTq@fjY^Fc-#B`>Z}MjbaGRRjFQ6#&3z{3~sE%rY5P$RN z8+eOYaVC$RKu!c~Sz;=7_ z9is>QPDeyE;I_I;9r#$4D!yy<@ZasQQo~={fMW!WIMby%fZg`udq&^Dd;K|4%9sYi z9l<(S@qMFj=lzbzwBr(;4cK(oJ-{6##Se@g@(2BsY!4cm+S=>u*3cyZ8u%itWYXf~=}XppqS&i)eIybo7w#auhEQ{!1F#0^l@2?;bty zkNM)Lz&}tbxecqHJ7LIZ{iLfi)wv}Uc+lydM(d2MpD4A&p$B>~qgqvm7L2T9X!VcT zVOJ+C9T|L86e%V4Wkt#z=D33;W^m^FF+qqMR6+5Wp)d!PV)pEdA0ItUKj9aDAEjwK z;wT{2DyE}T#dM64ux_lyNU0kW(_x8SY6_ioJ{!_e{N(5f@+rS~X9+=Y5!fL}mm&gf z>y1#p^kl>KIwE3fvhnHB_wh5Kla5NbTQfTxo*xps522@}{8gR(?C3lDIbYyWaH3u7w_P4zd30)CS!r2i;Pht*ls7sTHZZ81D(%!Ex5`EAUhB!*z$CDN=zh zVS^O>bggTUY7`C?4p%ohPQ7xf=<}mz)E7cAGfFC*s2QW&-I$qw6Qg*g+OnyPkFTYr zQp`;8i=(H;m;9Ul5s2;Dd=EsH#CCnApRQ#&bX!;MaDevK`QC$0XS2XAzKv9inI$qy z$cP$Kt7L&ZFNM(Y2ne5ppxE`rD4Pn7LS#1MmPZ89r)a;~E|S(!DzBFXRtK=69k9;?Fe^S)Qa4i^9ZyW_0nDb-2 z37cldX{ew{bO!IpX@TPkq$h``Mi=g7>h8&GcJ*7FGS@6FClj=0GAg&Ix*8Pi--(cq zZGAmBQ35=4aHAs(u7V8KW%WW69U2I{x>DT1cgqlcQS4G9lN39$p%>93J$&IaLO?rt z!GP9!NKWmVOK2sx_~p^7hp#wu170j&L-%(!pxZPz`06XW$3tIopq4kj=AU5aG-l>* zVAJg2>xTgQ8_x7#P5tVIHoE`DVouY8Zyo~lZ#kH+YOHIzNP%9{uBr0vLxBAq2Xi}z zB(gAcqRV#=0s8m+Q!LF}>RQ`yN66~t_3P`J);L`t@_hdg8u@`U>s#B{e2D{^mN%>^J3mQ#)`UP_|23 zR1~975_3_KzJXc|5eqWyjC+tS5;0Q=X3Wet zfEpU}1h=Nza4p#SC1r`gNUb>Zp*%2EMK8LnU6HEQ)iGD@>zs4?qJ=a+&{uCbB^`1& zsbmmThgCR00IRmI6W2^q{w7-0Hrk$%z@K?^;0OMMBV}b!A)TzbMcWrYK7?`b6JK-( z@`8`E9dcGle`HvRMv*z`01=FP$g6JGZ2|vV8+vaF>Rm zEqy5gA0T*T%u-W%)KT7R`D44b$jnqN1)Y*W81It=$Z5jK&}_)J2to<}pkf+^FziphLTJ-~;7g{FG6*IIzG2E1G?ZG7Pwf zT8*=CeWmR=O2Wj(8W!vH|jnB4bWT)P#v=F7K%zO zg`*Z>;vq%+cMHp;({XrS%Yxd8Vln*uNlly#^?!K?)c=(~-}=^c4?XJ&Jrm?B1mRz` zW7iDwwit3<{PiKU^&9`#XdDb()=5V?ioZPsxWDt4hOgw{r3f+$eA#`aZlUGhA41E2 z@Xv{9xn=c8+Wq4pwEHLj+?aN8C&)+|{*Oaw_|N{bn1(^`{t?moKM$elzxe0JG`%L< ziRk8h@5pHWUx(2CUxQC7z*1p3#YQWRtnL3kgtq_YuZZcp(m#cf@ce%cq4B@_buo?8 z;R+o9HWCE>aR>+u`D@D{;6{Lr1dDqP0gFApaPEcF!C#66jdUkuF4d?VQyaizuOi+> zb1lbZWzo^>!^96a`0&JrirEF*(lW2?%%X9Rqi|x5E!w%awtwdQBGA#BZRLQW$236< zU)B|AvDTpnf0}|khIZr;A|lWe{C@7B@7^v?oM#GG_#W z5L8peP!?)eWv?9oUF@Tx$v4oh=r@bpSx1^)G&4)D)eE$W+r)YGaK=TvBLZ5QBbg=)GU`} zV%rf}##MHv`)EYb6A)aV9AiW&fbfx2eR4L`lRzs#TC9B9uBUeCjyaIpGCS>LI5PIO zYEEgf+pQ`SI`qFzy;9w{6x>j+(=RuOVLt}98KtuHH6LA=-Tr-tFo^g2H+?7=#B^g8 zEiY_?3xlzX_WB3Xv||DekUV$kohAfhlRQ*%=}1&~RV0EvKFHYLjV;2ZIR2EUpxB+{ zc&mFD3udLr)L}AeX?NR>T=d1oLb4Yw%tx9-Fv)E4SPd7XDPyQyOqo6kt_c-Vq8f$- z3Tm3sbdAkQs18tOT@?Oh)t`r8tsl_dzOAAP4O)O7{yNS`7k#R7y1aLy(=$fkC?~X zK)&K0E1Bs7hcLqL^RICRc)kx}>EO!erbmV=Hk?O*>ivg+>Oo(e8sN%X<-it;3t03F z>mK7Uj0khyi64G@*l@eWY?YVDdPv2%2$6+n`NkdV{2?wVnqKfzA(xF6N`y09^T(AKbiU7;39RSSm1zr_^aMdM(n4Il2MVHG3e2 zNFj2o7MxGCv4MN&5c>MCf6KZ+rf?Ob@eRnp^}r0IBTlMb2GT3*y$CZ*JFZ2lK*f!2)?+0Kb54 zpkPW+X9K7_#GJkY6xm6q1U#L%kz*QFR+YwG09Hwo1GT!^Fc?>{5Z|f;3;^Fc0g;{o z4_%KAClc32<(@~NvJ2=+Qgm%uZ#oX+s2lM_k0L%UBTEbkHKGi$C2S0hSa5l@d$}#f zd{=%=v>TNOuBb%>BWB`{-r&^Z#Vpc8IIs*)Yw2*Uc?N(9Qn&^o6?9N>n`U`bO5ISy zP7=#ss33!#gRSZitIKr7f&eA8yrk?h&jrdm3c=Nl%~R^L-bh}4wm5%t1_Q< z)i=`EM(eM`o%jP;TC}g#GN>jsW+^=cGlXhIv+0aAkU83%sTyuFygz{6TK-VfMSU;d zn3Kh)LQu_2rnr4ms51 zs~EH$GI~bM)uXltoMj;`H8RE?2kI`wfO3is08NX5>eY6jMQNAtjJCWbh*@3G$nb#F zs2i+W)a4E=OgJ{EBs1DwlIB3gX=w8nD?LhfCt5*Sp=fIAloTl%7$L?H;TU=#SY+s$ zX!E0j!PAQs^cl-AGFE8mqGv`A5@XLm;N6Yfc~7=R&9l-Jde?wc1Y(1q@z%@O<`o$Dhb%tiV*1FK;B|umaJ809IjzSo+h@+zV z+H&QFa~E7J0|(2fU&9mCawH~iX^K==*~<@YkC_6WS~U62tT-le{?YbkkJ7cN4x6e<$(tc*!z337}!M?bP8tHxqNl{B1^lN@^5 zh%a8#K#2clQ>3nqT%sEU^p|$6VOQg$&R7i|kaHNc!*2NY<`z!HZ#|sIo`-2nR$Xnk zQ9*V7>#0MK)u;WIz_PE(;x5K4U3-x4U6wL;h#5&y-=a{tdel4TV{rn2{?V%8GlziB zvo1a~<-wYTK}dJJ0zQ#r#=wd2dF~MKdEUjRj!vf-Y(_$#;9#;Q599N~A>i|(fAd2D z!%A5~dncQ-sV1drc4P|VmF4KmMV(vn_yTcZu&=KlpNLN56V=)N9Z=vHChWCT@a`dL zj*(-Hp#*@JTe^apyuVxBRATM)P8W$RnF(qSh1kO~K58Y$%=kd?oVwv)c9oyDBF4SO zgutl_MVp+Gx?Za*+o?C7Lk6! z|M-tZD0bTcMJSUSEpdd^C8L-yW4WTX#rPW-+U<;Zx^qT z#`|*ICY0bKC3M~=>^H%^ao0Ij;9U=ik1@M{L7=~yzu;6^8x3bc^S6;uY*C{ii0z$9k?82oEl4Y@RYKlRe3G*;-RQ7K?fMX zJGM^?R^n`6xKj&OI?7KUUI$w}s0>G6Qdh+F>obBSTSr z==7-zPNfx~Q)j8iLiJdr9*foE4E0!YD%Gy0(r2oav()2k^*Bd8&OLPwm)knHtuNJ@ z9q8W~X%!NNRtbNNGPW%s>DX|G$YXU~9nfYSY;Mqvz@ilm)fcT(AP`O{l2YH)!Ifw# zgQj?}ppxqL0!qY`kZ$;)9`SNeNs)!8s$6H-nWcZTh(*IvTd3;l#YW7q`7rXTf=4bR7Wl45^B_y2*9&q;Kh|Y(_*H;^nyfZ zEIvE7I@bqP@fj>Gv5Vscbe4{+BvRm>B*AS<3lK!UcH!&Z75u7pQR5qU=q2A?ih!sjds}i z?(KrZgt?<$7&vXtRpfh9GrVRd{6nzUiNuiS9ci2ZnqzOrcUf>TxOb1|O*QFjvKc35 zkLR6aa_T8Ku@NStO?@l15mQa7eXr+DH)Trin57bXJPA~?{KF>SOfQiZ8 z?_g5bgGGXvG6$S~aM0U!L@g8ugZn)17#oAVrlGZ-KM|L1rM&woPrLEjr{u4JQr3IBl+$Z=BfTe5$M5@Maq5H^Nw|)+XXJld?bRj zIYdc$k4C_ny!JfhJ{CdB{kkdTKkj))g_@;LjdnJ>{$ z=JS!v5Sd?agt9Gs!#dS{(V=ws(>0X)l9L<0Go5n(>GZ|fG^MPU&xf!(Bz5n>Rrmdx zQYp`yX~^o7aw9%X(HRckouX}Y^{FW{(`i)WgqbR<3fvrPN}cR^C)h^$o)N^EnOpEo zp;;w`oNL`op;Mdzt5zduNyX&Ob}{C5g7q3x=2VA!3WB~{%M_?}IH(GQ7)+#|euNGb%Qe;2E;3(w%G+YMb360P5B85s!EV-hQX` zIM=~D;9wp24c>hTSVD6RjN>$T_ftlU$KV}I1SV3u653tx9!P|(x$7%<4@NRwN5Ok2 z0yOXvyoVEk<3{aS)#g1CLDBdC-lGv{CZj#?Jr=>j^$fhn6W-x&pXuwV=%HBH^bIr4|_go~E2%K@Jh->V*+zf}0PC2&p zTwtctmd3*|^IYWQMBw-;1?$*&E-|a5gk#{j#3|0OQZUH6bJlDZ#fWL=0;f7`QFXOl z=iFL{H7Yk?8(VY-eAJ>dfVmEUh&ku{c}{-BmUI4mhm($_=DY<7??f|FoIJ;hbFtH0 z`bAARmpI*_UtqsEYhl8hXxbp-&54T=-UOSN@8h(^32%}~W3$bvXE@c#W^>{ar#cKa zr=98a)3Mf^ca}q=h^glMvz@w*o#woAoPp{ZY0f;?;eE(LbM8_HtYe-zZl^Dg@|_To%vF$tme%HVt##|8^;Uye z?G3oFt!;HZMgNAOu3@MbZ)o5+vq)yWW5E$cowK;EEy2}(sh4qG2Xsn5D#ckBplX?q zFL8bY2vqV}Y|gn5*tA8y=ffEnp@*@b!QtFS;8Oa@B+gn7h|w=nb4C+}UfIu5bBSgu zQSRGaT%rXkrrbAoxxmEj>_LGZT z0i)=(!u1$BA3un^rn&%Y|}|?`5|D zIcF;&u02uCX<5zb*EmC)(=om|qX&!)RX}e40B3E3V1}~H#7o2MbyOq6R2!#UH}B|k z&Qn&oz?prHHMSM?%@$nXTF1S&1xnnSe!s$8=7=s$gJIqc z(Q0~RPpRUh-bK0IF7L2t3Y8!9y~9-bAneSm3Erv9cHcWLS|)8{QN5#r(m^WK+hP0e zlxP{`7+3Fz(ln}bo$nnH#=jLCp58=*JN=?^JALm+2dBpRrgiNXq}O_r7_9k8C12%x zM>!?=yWFel+UmW@T-5%ivb%im7*)2ZIS6m2%a7W;!%LE=#`V58QPogi6!gZaC*|$- zy~9pRoamKzx4kOA+o zXbP2oo$pOm)M`W2LA$!RtD&i(&6^TQpwhQnd|crs^AnMGPNEvGFDErLCoGj3PNjNp zC>htQ^L;ymaZSId+#4+)oM8eDmhDgXVA;%@8kkHBr_RUs% zm^|hc>}Jn38#6tFS^h~S-(u+*Xp4)QH$u})i6l_zw_3?>X8#&t_+GVrr4n!Ry~&C{ z7cEZXo4~!By(;rY`ER%VXYlwg4!my6Cv+IFH#IhyYTjWv;}o$cb3cFBzIUSPlNGVf@TVTVW6R}G{rCIc z$z|&2oUg2U(@TK3*#zkW*8Z0i<)a?n(ede2_k+GSO<|#(X!qlK-jOkhRO>^*cyPa~ zhw>-Dw#7M!<4tgWP^pht{)Ug;c$34wsqCE=qH_iZ&V6tohf00a_RG~&$|-L1 zj*Lm9T6bAWMy#3f^p1{Cr@D9Babe}o#`nV=HFnpgQJs(3(QU5w_o~br<$v7Lr4YJ! z-q;4G2$my|}r zZz}s~tJU4J_TEJI7nS>r@1+z*<+IC zXAxh)Izg-h!?|BYu7#^VAF1phf&Ln%C^k2$tEj-&F-0jU;A$Ig9-JX}18IE;W{uOPY#=840TVoE|BR* zIihmZi8v}Tl=Q~rJGOfFBt1Xp?MZrx{9+1MskDlRSe01ZrzXXb32{^f#Kh5rxkV~| zpUM-*F!Dza8xSLoEyds8I$HFnCB-obacpJm#BtOEy9f~7NAtw-jQeqx2$2%lyA&r7 z)=73SLH02{*l2}2cGF#NqER(;_>`nLIU#1n zP(#cnGR1Eyd*W0k)a-JBYND1%MfAYNefeE|gzUvEm0NR#^uNe3Wv!Ex|Sg$ z2#gtnib5btnVn~%Z!9H2;gaPXgxqN@V%W zi6KKGsnz{5NzZZcob-i<08>gjiSx zS+SUU5*qTMPzq0+!F^d=DKb+mq25?4MPmv^ij5Ui4vf`amtXsmo>fec_p-c$=$Er_ zko{5%2f44rYluH~1Y`E@Fa|2wK#YU(J5v}A;qgjQrb^&qE#{d?aYjNcDNAp0RtY;o z8rTzOGds=-vBNDwiTlG>jU}pjxG6ZB#eQ&uo7u0gI<&sLUf`;X$FE>qBJ3xy0~2nCVi~a z>`F5QLRXSih-5s$U$HQ1FC@Hp4OP1$DV8O~@{m>tC@EGFAIcaWPn^eWSQ%oYjTj*= zobPZU0mmcEfC}V>Lasy?;#9d^e{ibeYP=`vlH$CCI6uByVik2cr0uOm^~7rK@v4wz zGTGGOHMYYMvtSA#9*jJRxM^H{QmjshHL*>LwS-lOOAbU&tYf^^h6Y4XfH1qjVg?P} zN8uA-2Jr;rAQ%+GxGR--0=maXT6m%%Db^*#1!d3|7gFCsLKF&-^Tb8my9-0Q&MiXy zYjpY-^vCq^kVGxMTj*~r8`merMG4VZwm+hYx*Oy9dZL;8*%Xoh4hWY92I<_2wjU)MWgaPPw~{NqYw#oxC7?Y6*_ngcW|rKG@*RzVbA|w56g!6d16~qT$2zz@!b%;C0(>WCAygB zF7}4HX!EIyeeqq?&dwnTX~gFYqBlKpZBpbDqA%1XizBmvo^M_hxzb;U~8G3;O5%D14oF(3If|8_BwrpZ~(Vh3CI8Y2#br1z-TuiA!x^ zyG%4$Xr~B=F101M!(YWk;KXI=^d1K-_4=$Sts*0Ez)~wqo^f;3l!}AE2}^DDZJ+}k zt*kWJ$GOUA5Co1;>RvcAdMEAyhbT)S!Z%PiNYO#c(gguz({+k;lG1A3$hikk3?@Y( zAqL8ckJwJaRlfQTmaXk}Y7mA`qIDgKmX#Q2_hH4$6&C2YNTkI+2OXYp+HZoAZx)sl zcx2W~iH}i)e-`6<1e0kSwn39=s}?M*Ha$cf{o(#foYYh-dhS9E#Gaos|ygk%^)GS*Vm6`W!g!sUlY&ip9}H;9Xgt zAmE@4B_s|;U3U{n1NBqlx}hdG9bgNhyU#2h>p6uz%>IxCniyq4I+ON+@P5LHi6d2632M6E!Y2$#ai$YcX>V zKH)fb=@EpNtk6fMZ#ckR;amdFZ;xy4*os|!jtocvF*B`-+0&-z036V^mdFz#DQ--N zV%(S!l17sSw_1f(k=O(}>M=J;W$scj`4e~ zUBQVKA*$U@K=f{!brd>HfE%5sM@L_u6t7E&+sn2k-at?+Nn@nZ6K`Z>-e8$nT8Pkj zlcON5`h!Lft3UW!<8ZdOH``BYd^n!(8zJRkCMy#HX0t*N9n`LIk=*Dw-f>m)EGHU{ zl&&^E;m|ko__VfgLfycFHz&m#6XH!3h%DYhT(GuMg>Shh9X;_@X2M(SCSpk$;=$V# z4?4-9j_cfFw)u3|V?ydE970nrve9Sa>A%_d4A)y~8ZMLhnW;7M^%t zQoJW2-W!9Xct0T@sG;a#TTgs|yYT)%kF+E(c$Dnm%Wlfi$NNDL=U6XJ z(1*^88~X4xV~0L@n-xyxdE%o<@sWhMGoGyCE+V=06qS(MRRd_+yP4>BSxp-$K_c|A zc(U`(PQ@WHk^vuam4g$Np7?lD+?@~~D~G)JL}+}JoEG=QC%JE*u;U|IggW=BxXxAV z6$CAQR{-7B^KZLZYXhTc0kULr5!m1|mIQ)UB9xFeSNI@q8k@-G2E;CMejI}5$SLH_ zDjnyHI>gQHMeqT0OlLykiz!T;_+k_gk13XDw^Jo+mXRxi7vYP zEIL;;AyZ&`G|Nn5!T9oG2pNc(E)&!?+CYlQQ>)Mri_nQ&NJRx(2pNf-)rEN2WddSG zD2D0tN%7f)_?#W+5vqzWgt$W0og13Kl`k?^zF@f$DM4KMk{afSz;if2Tesi<9PAJW zFpgvBplF0)T&^D&%^?zb;>$_##f12h?NB)v0W(W-w zLd=m&Xrkfn1M$Sylj5rh@wGTMif<5uhX?UZ=Jhv*zd=lVi`cFiO_FSw3D-0vd$3V) z45XC?-r0tTM+PVadzkYwknfVC_MZ55QhYNZzBNoI#dnAjfumk>Yl zA+i4+E7u&2V!x3#FJso4$UYkp z02npp6|X10pA_Fsi0_prg7^UuDb6T+;)hI~AJ|bBSZpH8kC-fcT*8j^a>~uB2lP6I zdg!*rfT@Q+xMl#ojuR*v$t+Old(1vP^hC)e2hb4Z#v2)@5a*nG44@=z7z*P>_aZ=1 z3?q278e3`41iB+$SfD_(usC}$bOOv1KTe7tCd7{{nF3yjpAZMF|HSwEc;ctbyPsG} z=sWww&m0{-W*fQ_u&kzFzw%CtJ~4a(_bOjM0Y=7fgtUG+5`%|Ui!q7yH4$aFSjrCY z&k}_J;16U6tg{}Mg=-c(!9u%AW-~)ekKkZDi->pO5vs>Ccvm`}N42R}emoDqyQguB zxaG8_ZWs^<4y5YmN%7N!_*qDJ#4kvmY~T*Q6N`esWO4dM3RqCsP!toZJWQ8u3VeNy~3 zA$}J|UHpOYv!v#Ejwk-ez4?P3r<6?E^-nZT$EmMi(+Q3kBD=J7BtMG2C;lTT{+JMd z3N7~#f zxMb!w59b0;Y?xU@-Rs-1O;+NBVQ;MLpuonM&5K_3hW)XK6X=QmN{atXh`(5(LF~j| zNjzh*@x*_#nEurg&%u?3&fk=YFQjx@%j*&%j&5RDUHUr#-akGeM z!7>MB%K9f3kh6JB`xREU*oZ@xU6Mcx|4&lz`l=O8@^nVTX~K{%xp)hsz0!^^hW+rz<)H+OlOEcJf-cZ>aJQkL z4K#X@Z78S(UEJMN;Tb#D}eM$mqN(@PU)1I9Ib|?}qX~beu1Z(v|4tE;56b2w!3b)nFTqE`&kA?@5Y(B*aiTF&2A5TEUeb zPu$A_zQ+zmCzphKFA29j!)0{=tzoE@3rKgOtCJQm$h^@)8Dc~r+m$Fgn!z74Rv_D! z>8@^pXh)PK$+Q{;!72r0y1dH>i7r{EfB=`QQ$Tnv)g#OC{0#urs3Ut$h?pn#CB?l7 zvDbFOp}p8oJhQ4GbbY5M4lvX9+os)Y;@W*w+3GA6e_qECJPJv2jY1dvdE)-0IFJzc z#kMF85tSQ}fQ z4`1@CNfXU7)!4%*8-q{H@i4<^!!T3vn>5(q3y$riPa#s^<`JczJ9IYsT}wljA!M1A zXcWjYln!3#OdYyNE@7-i!GMaJq+lqOSHT!lwvK9_w|p_IqIXTgAXouYB^|vBqcF^h z7z{*drvhCMJ7I+-qNiacDlVsxnF!I5+!-M0-R_WTynuOK1#r!DmuQ*flAKD6#Ce>Ym_+?6X~N% zuTkbKh~Bi=Ym_;cW6V9~VL+mbmK{5rbywq(u%?S6nkSUIEtw0@ zx?1G6WKM_rj1brE?Q=d&xl3Y4yiO`jk+S;32 z(sX|^r@)V%q5~;x8oAOs&AINFi^G*ZCK7jHtHGB(F_IUpP7$g0s$qiyX52?cZcFC2 z5Rf`KxGkBJD6SNds8gI9lWlqm1}=PSvduy$D(+;Y>%{4L;|7~P69z>GBSdmeCf!xP zx}mM1xhYy}7HWlVQMT|-A+CpSQnq=sVHV?WQ?^A;1$EpTm2E~XW_^`zRpx@8c$vPk z^3Q7M6?iti0|n`k3aW5<&iS~qH7Q>9mDyR4Ng^fum8P7s8E~2??2@*e9MKEGdYy&_w1E?x%%47}Ij=4VtbtiD$nlhG7PNbUgm&l;< zB(6NMye*WIHQuEclc4$(u0FY}v6P2VZMza`q#rd8XM!JQrP*YWNXR3oR)pTx$fEL* z-0Tq%dfO6A@1qDt%ozw&pUTybDr2SP(cF3&JB{kcaP^}rS#o(S!6-c>irUk-_ObDH zULHsFN)9EU+VNcNxL7AZo5XH8N4WUfB5vLhmADLCa$bOO#P3};qlcSX)7IAsqJ0?erlW_CrN zM%EIPXyhF#&*93oasG~+OLZcWZap5~Y>$+Mykn>>fg#>5|@%DG(SoHG8LTuL?LLKIPT8CP9e&fk;EscwZZ zMu1qsAeIl~7Rr?bDfAf))IE>ut}O8v<@r=Px`>4ebzI^6IA2n(qB_n(6N<0q;;SMq zrd&fM-4#0&t>>a^qHd>LOJyU=Cn&y-i?5CGM&$(z-SI_Hyn%~f5cN>yg;X}Yyo%Bn zap?<7{Z`pX<)iyxsIi`FG{*U}vWeRWd9$d|%r%-yyyw8VM8vX$zT zS%62?Hm=%Q!8w-g1R=Cek9r%pUVDkhEH_f=ieVtAeF@jzIILSOHxabbWhc06mD}(< zc`5qjQcLHCeUFAJ#gSh0zbp>udXx)kR8-Wm|$uaFp>s3xq*%_j=>~C zb`kI}-SjkKB+#=Ay{qgrM0OMSa5gorCn6(hVly|7*_>HS&A8Kwf-`_Ad5!Pa$R7WQAer|5Q~BsO>*(2;>dEg&AxY-_BPpjhpQ1C=+wqBCPVV!o0Wq@~0qZsLT7M#bAXvUHl^Ix? zlKuV^%IwA$xH%O`1x5_5;Vi8xG~iDsz#DqeXit`+-m_h)PCi#eE!4~@8XPU|_ntCN#=_)|2J9hePs(see8;vP6@r=LjG%2)Z-8FOu`+~rRg>~RUMHehzRn*rXK9VR9UV)_*ZX71 z2Bzd2h~;ne$E#JClzbEYezSkLS$iSo(?O<`d<*5gRq;N|2R;QNGXjPnYkfpC15byW|Ib zf4BUQpSZF{e%L?ea*QuzrYZc2XApGj!d_i{Ky7N2fUg_gGnhZ^}Q|3oUaD$|u(v!FBIl}+WG z29PB`?H`L~X&f2pLr3VQfkKV^jDIxY7|lTIpCt}`E`AJtp7OrnPosthL&KZK zMZX4cyYqv+U4Zo^HB9-o74$-W*{=abhzpw`3tu5eKSpG}MX0s!Y?&1ag0Tj&eEgu}U5Njt&j+QZzs6t%q%i&rHDa?a;S7z`HI zjw>m}UjX22tgTJ4*@Zq%^W+a`z3cncXeF2F=*br34~Ymz*n*Y_@WXzTlt1v~56e(U z{+P)ZPb1X+3D^E{1tQ9y5`_2s#DiLZkjKxc<+}GeZ87>K|+C zM_2(6zhV%-tjI0-Yl1Sp1d7Wqfcg!C`t|U9mA@r;0nsg1blM+)e#d}*TY>fR_XNTH z`~#}}fvf#KDiUJckf@@i`~G{>v*3xUA(0%qN7M}M5tE1R6-Ny16-N*46UPnh7bgxK z5HpAF6SIfz7juUWiqnQ35Q~Q%6lV=RB$f_6ELILZB32DOD%K7?CN3O$Tr>?mAzFu? z6dQ-05|<4#H)t>DQ+0zZ3H3tnG(0~ zbB1^=KWBEZ|cTqu6R&qd-F{9G)4!_PCsANaXM{F$F;iof#nEb(`Ko-Ow9 z^Bl3CpXZ8${9Gy?=I1i;I6s$*r}?=;JkQUS(&OiOGRe>L*UBUKxlSI%&kN+y{A`fN^7BG@96v9TC-AdTp2*MjGR4m(Ig_8wauz>Z zYJT?04u0lk7eD)CH$ShHTlo1U`9pqwS^k)xUy(oM z=U3&=`S~^ZOMZS`{+gfPkiX^UH|6h#JTcLXG*28h1P*)RsC&Wiz5IG?@Or|%Y9tQq zRnNhFD6wC?Z$F@(J3NspDW0LVM2Ho0?x;3d=yGeIVJb+eXTD#d3=j(3m(o_=rFnTrES9@a3Jy42! znAaBsbY#^l_G5f|qOlY})zE&f(Xt04{9XX?M0=!Dw`gFu>Ym)Do4ir?MCzWr`hF<0 zgHYON&$m6To^O9fJ@0r{J>T&hY>_9f(>;3UKA0sVE(f3n zG&Of?xp>z>s0dG7uW^32t_E=^>XNtXk~e4xdXJ{R)P1>0%kAqQMmHY;fgXkOeGCfx zaTvxY(7Hza`lq1GpN7JI1}$o2cRvS=o>z#!_XQZo7op%^QonEer&jVO)f^<7nt~mt z=Zu>BJ#n*EMep;(ZTj{7p157Ve!vrN(j9r9=IHx14L+cy>Vvv>AJV=1uT9)hp%Fj{;BEk24CA47{;Hb3?R?5Jk%$DaabPxGkyoTlxK8r*v{ zZSU5!-K8bx_J?2=^)UG8BQTAR!X5L(mo%18@~5Hi7a-|tnrpi>GH|_u+N_Oo+jV!dtpvB zQg6~l1~uv4tTB6w#_VoS{74tsy&u+DXWx1sG}8UB-t2M0T0aO2{t%GR$lmb?n$U=T z$`e1+t?k|i2Vg&{9zd1*VB8r5sy+bF4`LiWgz@t*s*X^J+#OLOw>%97d*WAm-0ap` z@|HcE{JXg1d*Mp%1vdN88BhF4*Vw%W2vL?N{-P;iG|a~|_wRTBcs>aI{Sf-2yZz}$ zAY6|E(qpLlIQsVlc=IIsr!HaNKUjoxS@wb5D9iTXk z6i^$!_(7PA2iQay9k}}du(%KIt(MI@G|(hT~rmZXp9 zVQ}XYaDO#r-=Q(zuGRBrpMguSvH#q2aF?Hli}wQDyBFcodt$E^Be+EdYqqGn4}usE zpmSQdZ_p^+tx=L1rJEkb3_+vwc2C?l1jqayIG>()P`#dy*GJXsD!e|ayAAkV#*p5j z+qmT+a9zWH$0L}LJPPmiF`%!z`AKbwwm*q(=x*NnG${QHQ}|gev$ttx+^xGN%XjT3 z+J3*NnechdgzGg%U(mh&BBD$5FkR`%O+^ht#WrS7Y~)+7YC71+U$~ z>lXX!C6m54c1&_CUaCAYI%)HAwRy>ymrnE2WnQwXrtgiT7xQC$RdRwi^k| zc)aWxqY~~->YTk}^~=6-`epxk{c@lRFI4HiYE|j}8YEOB;otc#2m`O=9BsehiNov|qQ$}c;xix^y7(DOZ1E*$21U+1D|kQa?BMq6C zG_JS(THiFrzBe@ooo{Y|?3qrsT#N>6S1xYFFW;M>fwi{LPkd)p|88sN-$Bdm8v^Ou zuo0OC?8Zx|q@mCyo4B3&t3 z)7HEyjo(JOm}gaTJj-B*Pr%bv)nls1`tJW#)#Lpk&dk(I7&BpP!u($~A(0sJz3+Qo zf?n^TSO0r2zf3>&s2@d-e%z~moXyX5!f531x3 z#*a}wPRk$4AB~qknvxvyfvCq>s$N?DSpHij(eLCyc@;BqLKSz{h#+K$MNsL6tT|$#i0Na+bR{NukeJ{> zVlth?WIBn-bS);(3DdP0%%WE{%Ap>nTV<)2y-@)2+l!*BzruN>!Xkuj7djy3{`Vc8>!e!a02!l%PfedwDqYd_}rc^i8xPWx%_H8x^5240QJJkcM5(g|$r~r`3XEcobV!rYI11>oeD95Z7mDu2a$R33OT~ zfJXXlKV>N~fNUBpVE{~3`*y%-6zrTqqM$K@#?hD^2*A}Ta9k9u(*?j<1zB~~a$YxQ zvx)PB4LCo81jke_L4u009-N`{;C)nIlv<$D`tw5tHjBHNX-(bj1{LSk-~#S3XeVNaW5q6 z|10ln!1TPzGACc=o4!pZO-ozYF6%;Vr^&RWZ79w@b%JS3D>n>0=5A~y4klMI2NJo+T;-zVDx%~n zi_BGwWk}0aRIbQXM9EdmFU?hquL*KVxe7bYRV;U^(-H#^@i;%O@f*T5VM!5|*R6o6w(6ONDEEVxd?#l&jH?#GL1r{ zeG2e?L@_c%zXiC&D!_3xk%bf*S?suoX6&~BhpqY<*6f8XW3M`xwr7qj(=^qt_as<$A48=Ru9YUfJ4)O|1YEeFQfl2i~dj2jx?0W%iQ;`?Fq|L zv1Al&7TG9RTG_=BN=Nv_F;KNSQTtoQ{VhvX5*J31=pERwQ>YxGdUunojzUe~qfnM% zCqm$)BXE7Vp$Io*1a1t=>tVSefQASxCj!ff!19d1@{GXpjKK1QfKfysvVlM=n+Pl? z0&xrws7@3D%Zb49ga8)?0^R`xoB{$-Js{9L3JCZpAb_0+fm4patHVu2xG5v>nlMlg z1BL(^7CC5GT1_;{)Nn31F3ZP@y9zYYV&210h(mMOoD=VAU&{ADWtV3AGw!k zz+^rFdC;kb?V@D@Olks)lX;i7#m+84?x1Mqg=EBT(BOB1RvI}f#kkH04(7!q{-3GK- z4G(mK$ZU8X8?>ZwEKvwX??ZuBl~>qsJwR)?C=C~NqM{C5eUN#;B_SBG98->WoJ%kA@e#5d505NxuOK;D3WlO zI5km}$(nc2t}O?zSVWc{Igl&|t*%DYo}V}-%Os9V%24sqqn!+`%zWTW;Vg7Y%X436 z5h}M?z|=Q*MFvK@Pw8SX-Dbcy<>-&PqrV~CR)pKKqkl_4CSGZdj)snoh8JWj>Dnvl z+AFhbugtE!GQ0N5!R&sDZDI4c)M6nh5_OQ&E@st0>kCm_Q#Pe2Co39v=l4?AT~;MJ@U2PJ#1GJC=& zoPxVV8B$&LL|6kYVg7_;es{R12=`>nzcs9`ht-BT8e)!y!LyoRuO`^5GuW#$*sC+x zs}tBp5$wnY*sW{^&uW4l$3WxiL}9m@V6RR`E*A#a-htq83Sd(`fL)ycu$w2qBYgsb zC+&xw5$q;~-cF9r3?S?jjv`l*;5e*LI;`Io-cp3OWLUpFtf_}J1}hqtFl&hC8sfRe z6kfv@Lu-H{_O&LhW)xpxukpaP2};W(@p%JzsxJ^;PQhIuTc&=>b^4C*)*`$$>-4s; zwjS1+PH3ppTI#fxI<3t%UYl*aHrse@vawOLab&~Bt!$Q6YiZ*+1~#rv^s}FxvH)D7 zY51$-@b2;lC8P$M$3?Q#%CPsaw}%%zE)oWF3NSf!EK;??q-BZP>DXZyr!wiqThn$Cmtj11 z0{@3>f9C>!6C}9%J?-xI_VA7(yd%5ccZQ*Q7&7-mL-!k^`wh|khO+w&x%&axA$Px} zJz*%hpHZ}5WM4a%P4^q3`^7OUN$Q2f5MM|P*#i^oGGtFJ@MiKEW&N>}Uv3ziUv7|% zilu2f7eSRbl#8~ZShTUXm!Umm#TXEDN>Y1S#p7CWh*ca%df8=TYRLPtK* zUzN|4$R~G5l^V~u8s8I!i!hwk_};L-9@d*0>#4?issXKD!n1?L#A47Ls2LL38)T|~oHLrU5}h&C8R zz<~?Hle`0-28Np2%!A%*#O$kAxh+t#`!B#dA+(ZQ97^qsECLW2*g*pz7zIkLh#-&l9i2=C1Z{z%wd51S1^ zG>lU;jML2oax;P4oPpe&f!v&d+?;?kiaIJNg6^scAp#RPKXin-$_9JF?!!L3+ZI&~$LvOlE9gB#57L zh<`M^uL$qU5dT;hsfQ7R7!4st!)Grvye&e*+j2D1)*Q9wm^H_(IbqF7tT;l`jL5x}_Bx*OOPEjTdG6I_d$`M}QjM%OvP7X;%9HgUz z;|(Mnc_V&MU&)zzl_~K;?lfoOFpp!TO}c>@vCA9Wco@awWrSz_yhtKy&m_KdH$GXq39IUj zn=oiwdp2n*;L`n9+=+Za`Xi#+pzlYTK^tL`gJg*CEMNxj!YAop|9V?>j-AJtlW9!F z94oaC^GUqbb{peVc4Zk8Zk20eCok9Cdu~sD?(<^9^b+dy68b;hio_6->sxfA-girA zO?sNG>CsIb@SJIO=`MfRfMxGZnY=p=VCmjw5oszX?z~8n!8`vp@p6K_3+J86`sStn zHTfX5($}=rqLA; zwwdCXX^fQQ8p8#QdV%}wZmR*#$f!Z0PQ0KU*4oO)NgCz1?hvVnHhJ-ODEt5a>oG4n zp8x7R=0%SQW+G2KNP(Vskl2utl-OoHvHfKDKoLHW6WdRPt@W_g5*r#OHZ*)1+scf# zl^JcT3&zdO*7WqUl^JcTr<=P;>TeCUdPd_=drOt)Yt#kIdt2?n0ZGiMhL)11Uvo`= zI()DQAIzHmObGQ5Oj9(}6b(}_8a~vZ;X@4?KGdM$Lk*f^*i7JN0yh)lW=N(hpx_(9 zITg~QrBN(GBO7H?E4#qAIe}QjF@V21(J#I7YADVzlwTgYsFR5T9^QxBf zyb#rRQ5r7_*UoX#bE$*#B=F%aq$geCfOPF0xUhB##}d(MnQ(-?)d@&@J^>|v8+flu zd`-0j%627F<9RojuiyYY-(7fpK}~R`6D#c?-eWk7cxrRiP+%l0X+syLRZ+b9s`Qs; z_sFp1T|UuS6smvP>Pa^G^Ma7Brk%oLqivR-*7&xO45G178bE+xx!Qzqqw-2U6C!p@ zka?w*H^OpZ?J4qlS^D6K(g{4-=ZVAM=kJIJ~TpX8?L)*_;gx03D> zcxv-K&5soSF3;WMoYpdJsYo>jo|Pq7k~qkCz;uanJZUO-jRD>&;mr9+kimOuU*Xs$ z$C2AgWbHYwtia6qP5V=yxr{}xQdw5{tDIiYf6%$w5TXNYZ;f1 zbxGgY$veU(yU9Y*Q5GWARpV&&2|LSW?FI_uJTQXH>3Z3#q}4u)el06^CrLY`uFO+g z^t>zSM9^PBu0?l^|NTmGl{-97va@;0m3c}|$a#v{Rge=#7VS7mbyjOZ&Djvj@S!4n zD4*9q8}6GQ?&G<8AJ5(U+&>`aJ~PpS;v_k*bU8m4K3s$kXE{F~?w=p-r=0sK=l(3` z{v-#SBX+qlLytby>{#^ZLI*&U9*&6aDltVZj1sc8=+D<>%PCwZ0b^=7( z-A*{&x4Sv6?Frjcel&`zM)s1qY`z!TPK(AdP`5f!7;UH5ZBIoW7slc19mr@-!60qa zLrFo|njk|XE4z|Nd~w<9N!rOK(rkG>hsxN1-YI~*-D*6zf(t_7++h6 z05cilYB$8MhEEjX6WI{I7IxIb4l@KAh9??6(CnZ+cF-O>vORWWd+f;e*pcjE6zvh& zutzJKN5>A@BaVUAJ_b?F)sO{t&>lO?9*Au&40yc*X~8Mj0~2_2vm@n+=CP=fee6*a z&J>^(b;>og$%Ip%^+Xk{~Ib`y*^24GYt3XI(ZV|N0B3j+-A zK+HG=FsL4atU3Wf);s~Y_yiQ4ZT5q+)A^ce#T^pc?wsRx`+22ad*dL|KKT)8FIYs{ z4L0)OZhHlXEa=oRQt>`~x4lQiak<-`-%gTJ2E{SB+wvx!@Et`6Xg6n!AF z;RCH~`oJFgKpX?Ds}p6?J@kP+$p^SFe84;415UvQs2+TvIst}ko&X>43GjimA9gBv zB0`%L;vhTWa80qtuPO3ODJ+-?R0ZaKhxzxzXN&OJ4D%m^(Rvs)n9(rdj1u2b;yar0 z9nJWTW_(8zzD5z>$OgWxY$lvh;v2_6-Ri_1es(o#I|q_Z;ep91970C1G@^1qca-KB zP3EAUFo$=*!JUFR;%@FFSseu%_^4wo`rSp2>J1bJqbv|dJ>XC}jrwcXr5x0YCy@dX zV^mj-!|{r5)IYvMi94#O>MnmoLTV?oETN!cQ`@pQ&r6?r(U!)CE>Nh3zrw{fYNxXX zFMKyYS`{fDYrh)~GrxUvURI=Ktv5&L`1yjviQBSKOFNH~($hFDyIv$ayst@fdD6nP zG;YjjUU`yCD<2W{RMx)&8wym~S`+l`g(iqPW-L}kNWJC;g?1#icI2)iE$}XW)N(QI z&1|^&dwMi6RIIbrTW}i|0jl9T=sb4O)?ll$7+F;gOdstoe=Gx#rz-H%lX;9%2&v?t zYdr`3Vfb7TK9_UQABC}c7_%INhN&J6pJ37OCLIlL($VlH9Sv{N(eNgHjM-|8*=j6j zt1-`3*Yt!jOASaYP9c)Vn1jYVjbUeFit+9eUn#|2?96(Z(#|RwDLqbtd2JFifm3+0 z?6lmE=b7hP4v%MXk^UqaF6KHC4&M&auCJWf1u{sJ4sBP%Lwke`g@=QM+TN)XEi&Ny zV;<2`&YV-q(M|Zn3S$ahy6Ko_O)1yR=HG~pF|)=oQjTK`d3}yG#?P_F(gT}s5QRt6 zwq7)q9(}DI}FF$m=3?_bA+ZM=BUKXlQcaGI}SK1 zostCJ=XKp{D=_Kc4+l*w|5m&cV7MZQ29Wh-Bpjb+L%uUlY?4ZiV|MCEpI&|eFlL3L zv|w)II|Ubj%e4+xcHh-rvz1W|(Y+*8iC;oVb=An4;}!zvLIX#yI(%V)qupc)6>#Kj zu`Wd#Npu&&ldf!rpq=Ysm@?lNA~!D>S@r+slNsmkDWaPDp!m`Ls8e zPkU4OWEAZl*$9gVbeXy36|g6Si_3-B@+YI}~c4AR`bQ1e96ALFitJq&^Cl*I}C$V^~8I`;F9HM_Yi(b`P z;K#wLSmJuO#9xLl72!+S5`Pu;*Ta6Z1R7dmKP|DJme`+<%l&>_qC(kkrxU!yD8Ay{ zPxI_|^Pr&F9}60iDjD{hZ^0Z!@e`E&3VWLmBXT6Xe*YEl)BP5;`z>lUOL2npct8Hq z0q(xv0v$1hc{O+{`Wb89uPO9STS!&PvAB3~oWt!l0XO*6Rx@Mi6%`}POBIs3NAv9? z%qRh_zu#RkQhaX<_)-wu;L!hv@YhB7>kR#W3={P*VbG&tF@uK13>p?QX!x@-6ST(! z?J?oXAm2~8Jy4WPm_6W;MiI{m+GE0Zh@hB|j@{+2@4z{5#$Wvk!uL!mVO_ zCX4>q$p>9yGna8X(oEBIE)7#ocs#-tCSVo{k)}!)5@M#|a9DdHXQc^Qyt~93-4-FR z(1h6>k7`b#MCv5qS0$i!%rwZ8QIW;Twgg;mg5P+1FHR1soF||lYo5T}$ON`AghZSg zDf`=)&bob~!qW1%2Ai;Jum+c3#2eEI`|<1vdwn6b^;aG^Rf$*2JoqE#@xW`I2M2Wt z2v}Q#2VNTqn!1Pw-g!$P5;C#9cUjsx6}6u)E}Qe6i+Lyh!ikG;zPytg>n?vS_ufo$ zu~|WXj3QB@wEsDC@#49S7yWwfMOroA;_rpE8T!e#y}yxrvj8EL&e7V~N_+jj zxmy)4keqVsrJdUu{F|D~-z#t(-JJ45!p75!hzUozfy_yYcTO##Lg`CPmHe}dj^92t{We&Yta+nn&HohsrU-wNv*v#e z2kPN~Wlc29nrN6c(eO&*0Mq3Grpp8Q_&VU}a%oREVCfPkh*Q&?1Dy_Jbq{#D{B-Wc zV{06MhddiV#?Tyf9}{*V$G< zI%}Q4i0Hfm9u((HXxIe$88Tr+7n#s8g>xFka8Kw8C!7uktRy&KM-C#}DdEJrjz{PV zn03~DBLrKw!BV>Oz7dR_CbaIGVydRcb7ys`?t;z@gl4HwL4rAePni*_2kglR;fED! zPpIE~Otp%ph)UQMPo~B3hp-XF+SBJTHR6Fo9sW!))Vz>3Rt6#2M`PzulFMt4wY*@= z2Zlp;`8&3QXE34?<;y+F|4aDWBK&QR^1llQ=ZAxgC}Mw@*dGS=*wM#vOzGS0>Je(kogCv`_N=w9b`I|LK02c=!*jobRH4e!C4F6b!f6RdV zuP|8;lXd{2;Q@$-2Ot_gHlX1hC>q{@qTvffG<<ds<(WNQQE{=SAv%W+_ zStn1L^SQ87?2z+Kn&(P7-H`r%zPd|8IE(N4nAYXD1XK^6ZaXdTRRLFrdsMg5tHsDl zUKO>zs#&C6`1F|+iRIh^HCyu@P#M^6Y!8N22DFAZaUF4M*-C>$^|r1_M@7iUoe6vR;jMfSPuTur2MxXOajvEqe7 zQ^rLlL5O7tlD)Q7J?u@|ZGyIH&yN(PG$_y1jkae zyZk-Vmc4jl_boOm`)C=zCofu2<@eRgkBV{>+rXTXlsP+Of3B=^&yyM##f7$a;uUZc z26sgaif1c|J_?#YhU0*Lnd|q~@QWk9DbGU`odtZQBET%hY5Hf_ChaEaId&|zgs%$~ znVXcIE`*U!gj@`N1$MT@W-E)e!1`GVFk7zbJn9d$j9eHG*z_`rQ7IwUVog%1i3Y^p z5dL@gry~4Qu8ICnn5u^m?ctt0IIBwD&r$oa&sgHxq2_t2jg-bL%5h1@f{8@UGk}53t zWW&!=Ns`x~v}$-tx}Hg6BO*(}yaZH9f0y>oUh1$6U* zSoNKs({A)O959YNg0=}LoDXiUNAkw`QFMexNT&B04SO)d?UGT3Wc#iDQQjF+c-$ls zrQ;|;Bu7iM4z5V3IPw_h&e0KnaNfLxnVQ9e_49o~%mLXsP&_n6#qI3| zJy#jjf?#K8c=N-pc7}$v#$4S{J2Yuj+4&#a&guAaJi|+lXW(LLrFOW*GwzY_P!S%= z8Ta7;hnlvGi-s8&4KpqpW?VGPxM-MhrnwC)0X0pVU04ZQUOAVLi(H% zDL}D)irV5xpWD*3+PQ<{}%ehe8b(rQxD*mjufqEFF`h}IZCSfvuJuN8YkI2w)>;aHB=CrsQENgM_waksj-C&N=kcq)r~I?U9=jHMe92yy`{6pOQs$9QkU7+RRX!8P^70HREB4N@K<}Le4OXNBs1~V24co27L`pK#t~!cc9);mR#+!R@K#D!!=Q)*`B3M=v_s2z*T&AwX53R1JlW6i zUpjMurYjlN+-6dk!JCLxPLNbjuLiL7G@**UPnVcABP*$Mmnz3YuJUC;y z3Rf3tRO&n)Df0oOGLDf#NM$bLSRBOHJW&Q-UCc1`wHbeuy$hK*q7r$UJkj%8;%kCs zI{ek=jO8GFIXA-`G~?yCHk@+c3@SzKQuU#BsZ@q4c!nh+__mG36sS87ZNr8epN^t! zv>z{Q;@1|@87h&ql#+E6c6KcMD5V?xSOqUGESKXU(p~FVrosbPgt;;df0q$^ zam(dHAIzPVp?}(Wu`lEa=aTYg9D0_R6rgQmQYfYSKzClDq}vOHpZiV=7Wmj7o3!w= z3ZOL^u~?t60!)Fyk1Tl1LDd=xbY}@d(;YQQ%mquxm&w{Ezm`bE3F+25K^b0=q)v{G zA<(joKeuf#ms=6wk$|$F{;X`KB0ze?A|RT#V#zOR;TnEkDy>h_uvIQsDJ4xs;jC;@ z>UIuKC$FKy7nwL8(qZ&^Ka8FU&lcg?d>DN>oT-O1b{L`IVT6W<5gLAYbA~6)8J;v} zfIgz|jNbwz3dghep>8&cyNzc=bpoSGv*Gi6a6AQUBSvH()Ny!9<1@15ZhPBjE9&J)K=yHQydJ0dIY zro|F-wl9qp=(c^n6>WwXk2Gh67+~56oM42fvb(5EA&}$aK!lrIeMTjvdTOZM;BU!u z2iFAw<3)Lj|C$#S4s*7dV~yT;xN=RK1; z@C;!r#!s6GuoWl3V_gFLg?o?$ZBG*9!u7TiP-t{ovfCyw*68!4~o};XK z5os4#BJIbvMP4j-7I{e~Uy{j7GkIAiFVEyljid@MV}@9ksH;i6lzlgnx||fQrDk7# z87X<`rKE0RAAj+^>ouelRY1xw>9UN@v&#ngKN%0U=EHbcfl? z7d^@jd(1{*5OKqUY)9NEkj~w;tRhf$Srk`gh3%{Q%ScLloVL$nfz1 z%8^nB)vg(;K*chPSAIylv-mCl2AfMvntsh+(qv^xla<%U$z2Q1DOUn7Hi4YXw=(W5 z5-4Z6k*m&uAEjCJk2^U@L4#^P?S!6l7dhH3ip5#}lLXvG3O$Ps)Ia8`{DnMs+Dkb6 z5)QYpkqcfb7qpnmlC{h8m)z4?@_A{-W2CB?V!;AhzQH&wEx#B8{wOpa8%oP?<${Na)#+GVgqiXV`asf5FJd2QKFOz1b>iMsf zVz={ZMd#I8EqXDBp1(y~EmH9n%U_jv6k@g>9{kKVdClQos#I_6{@9t>{AGgV-YtQO z(y0=tDUA4%%>v4Nf&o>axKCnIeqAi!pI`24&0W-C;XEzgo7_+o3br=MTQ!Ser0pt( z<-0luqYqb1@j-&e-D>k<-DStSL=RoUKh@tOU1LX!(~r-K~Y{1d0pZi@p(mg zA^sP6^Td0|c?-&gMY%BYYT`ZYyxwwAQ7($S`QlAG@1k;XQ7(?WZt;#f?MR{rD znJ&kicUgIPQC=Q-rps~Xy|jE;QNAqlOqUbR`#0qkMR`T!nJy=t_ixLW7v;+%&vZHE zynk1IQ&E0XE?--&D9RO)XIR@m2RB^4uDrD| z+WsB0;qvw6U{MZ6ULiZ!zsNFNzM;IWC~u3r3&pd4)L^*$mU3lLu8h0|;@LkVFkHT| zd{a@rDe@MI$5izS-~a999YuLZek#G2uZ@+IkTz*^mmZE%1*a!urC`PcaVzN36=QNA_uv-~B_e_Oe>DAz{5*_i7ub^hDScNFD2BL6a`EK4=_ zmbG$zQO?gPtGlchWxdwjP|BLOfb@}h3y}mL!v7oMJ$PXa^byUA>Fnb2k_F`@SV9lm zOm|)4$CUP+yhxMfuKVUQB7Am;fd-C$~^70a4T)_0+!~c8ElsotC-Ib7&_x?YBK=t9JAeZm;$5f@$`HrpG&H+DJ zolf`l=eDDqKNj!TWV3y_-pzhBie&owvb|knYNn*qx!&GvKMLmivi$=))4iE(*)bCU zb)Y}fTRGZapW5yi@IQ{*Zo#{+hSAVupNQ_6y=AL{< zrYD{6?k;2p5>;qGp_9HQ*V~ou%n$YsWcw4I{GI&cnB>@T{Zf5P+=PfE?0dGf4NW}jL+XYRcD3r;(I;iAQ7EIIS6v(Gtq>9XZ3R-Si$ z-Ky1V>esHjpy9%c8rL^9w_M!X*1lomC7Uk2?D8wFyefTlrlYef+r4>9ZtFEY+j{eT z*Y+0%2Dk6HZs)6ZUBCN=8;ixFD9YkZ#hZ(-F5XhSwRl_cHO1E!Ust@n`1;}-if=5w zsrcsNTZ(TjzODH7;vL0z6yI5VSMlA&_Y~h-d|&bX#SaueSo~1&!^Mvj?<{__cvtc6 z;>U^~FMgu<$>OJqpDuo;_}Sv;ik~ljq4>q(mx^C5ex>-;;@65_FMgx=&EmI;-!6Wq z_}$|7ir+8(p!mb$kBUDo{-pTR;?IgdFaDzV%i^z!zb^i!_}k*|ioY-Zq4>w*pNjuc z{B!X?i+?HpSMjgK|1SQm_&>$J7ynTlD&AAvQ@pphx45skzj&Z{U-ACp!QunO2a69C zA1*#pe6;vj@$upl#V3nT6`w9XQ+&4gT=Dth3&j_UFBSh;d|7zH7YQ*&B*j=UPK*~- zqFU6531Xs{Bqoc)#Npxyailm(94)4ZW5lsys+cB@6UU1a#B_0@I7y_$3^7xjEM|#Q z#B6b@s1Trp3~7YoE`;&ic4EE0>w8DfbzQ=BEv7Uzg_#Zs|MEEg-pN^zbzU(|_J zVzpQ!>cv{IPFx@w#D(G_(J0o7CebWf#Kodjw25}HL2MM4h)v>BahbSWTp_L$SBbQ^ zT4Y3r=oDQdE4syIu|?#>R&kB!5!*zs$csL4t>_m8F(3xTcCkZTCw7WgiCyA)v0L0A zZWKi!gcLW4o5iceE#g*jn|O_Qt$3ZdUA$hrLA+7CNxWISMZ8tKO}t&)A>JY0Dc&XC zE#4#EE8ZvGFFqhXC_W@UEIuOc6dx6LiMz$e#K*-a#3#k4#HYn)#An6l#OK8q#23Yv z#Fxca#8<`F#Mi|)#5cva#J9zF#COH_#P`Jy#1F-f#E->K#81V~#LvYq#4p9K#IMC~ z#Bas##P7u)#2>|<#D9oCi~kgV5&tFrD*jviP5h7eyZDC~68DHb;$E>=>=XON0db$W zUmO$Q0E9H6e zd|4+~$<=a=te0!$I(dO?kQd5}WTRX!n`E8 zr+k-uw|tL$uY8|;zx;swp!|^hu>6R;Q+`z5CGVCWlOLC#ke`&FlAo5Jk)M^Hlb@Gg zkYAKvl3$izkzbWxlV6wLkl&QwlHZo!k>8cyli!y=kUx|^l0TL|kw29`lRuZgkiV3_ zlE0R}k-vq4{Js3cn8c9hjh)fgGg$EM@w{;}w&i;9y2tY-%oyy=^zT%^@1?AP+_r4N z+v|DbXLRMZ=en}qK6>Al?;7mMd;6(MM{Zz8u8__2c6kRpug3h&_j~s_*}eGz?|%AS z$X+{`?H$Nvdc1?4cjOFcZfes>et=qlq3FtQ^ByE53IqB6toM-TO`6fypUw4l_Gh;N zg!i!LO`g%0FAM}pkLW^OSySjyQz%G!j2eI?0p{N0o>x7ivnQMBr(aJnVFn9ZyeHLL zPmlK$mF~&suNmy~o~9nC_l}(dS??JJuFV|VE$>;+I}B(l%h!|b-8`_xd(KVEUY9Ej z6ujr%s@pR?gIVtdYI9qDd)9lANs#6Dmxu(NTXH>J-ai>xdhO5ldM}^vc_(>Z)r>-} zqX#yW6H-*OFN6F6{yl?OvMoE1>Fj}t=cJjQmz+WTAOI)R58@sDnB{q6W`J?@ehR&3 zujTjI^xm80_fzS8v#MOn?<#!`z4xf}x%{ru=Mjea?x6Ykl$lfLFQC2w75(!x&zm?S z)59V$kOLhEk<*EI+1^Y?PZpjBBuDpjAyKP4ulu=33ChkMRcSH(*4T<9!9N7WkK){#}k&L$Dy#I7Crenq!)Y{9|+P*j{amnhqj zzm7pIWs&R4bYe77r z+<_grfzB;lVjWSxGo$gj!1E4w8D}#ZsBdtYGJ{$)E+jbp*@3}+B>@)^oUMb~6mE_5 z>)Jt#L?Y69sthNPhd(7WQFq|-<@(`^F5J$An%%TTDy_v$TddM9cGJ#KX{~PB5|!5G zrk$zM+DTe$yWH#sH)#o%oZn%f%M8#dObn>MUpRo_bX z@2K?+P3flkb#-n!?BK-qy4H2|?di)_H?OHDOE`8-{i+S?$OevY%@1N=Wy$`HYvy?s zx|gg@)`WXKk-AcC2+6j(8l5f-WO`vg(4lc`aSGIx>g}2S9H~eUV^Xr(o9L2jvVZbA zop8|u>} zlZ_;gZ5viySiicx4TN5F?y{O=N8ip=e|a6vzd>y^+}iVfxz3h+z9+R{!OB!^`L^dR znzO8C+UQ$8&Oa}pYW=ppft`)e2W^8qKpNpQfu|)%0jGsZxx$gct(AmhD};ke z$I^OhIsR7ZohPnAvKwbYJ$Z^qnf?kcow119BOsm zJk$svrQ5OtjXd$N%XJ#lCH;*9}u>u3V>zeHifnbvGZab><@Nn?G}y7mjwYpJ8ePtYs1vV!4Z zK9Jm&HBA*5P-al2$|2X#UcWxQs;;emUXJjKt4{bT`H9OdkEI91@yxBr+kmy;EdJ{c9OF|)KiX#d z@`D&{M(?a?XoVx((A<=64F*`37TsXlqok1sfz2%RX_G&DHWXf&i46G!T9jV_JCsc{ z)cLmzx7KV=b~|Q6k)5?qmfj(DFsdhxFHXWMyS-Nw3x z#=2DvjScOWrq`@mU*BH0rmnqC*{nJKnXhE}PBK`ax%*uIWZOV%ePjK`x~A3jVd#sN z)yx|u^!fe_3muCp>sss9hhbwvwP2L6PxEJ4*zFDVYtn72>zg8|pRugw^ihIe7$VHY z8yZ?0n%0GpU$U%b(I}xW_KTkhoCM;=y6iw(C;S8qi&O`GcVz}L+CjjWPA%uz2zk@^ zu`*TS3}EzVkCgmp0|2toPIkJv+xS7ucubqw`_MH^X(Y!IlKtB=M%mLPe(}>KJ%v`- z+@IO@f7{nmf#i;!Im(Wn<;#X}M_aMi1qF=71ezYvAMlP`)12k7aY;tSX*Ksyik9C0 zB@-?q48w>{4*9M1rNaUp(Ni|^$tyW!Gk7(JiV*NWDOOd zcb)0lE1BrA2W6Xb5vk41i|Ytm4)PFer4^}`#=1-EThptXn>N-GqKcQsTp#HcuORhO`bhUAw0(~J`xr}cPc>gr`tud%KdpIszpyvP}dSA zRa;iU%mJ6cm;(`^2J-10Tk_kis9@CE zW?vEQj1$H59?l`si|5%cp0n`+3Ph=PxN30o2K#C6(Kvr29LCiZ#&PLDcGzya}Atux_v`*OBYV4eYGd93n50XVpwHrZ$YBp*oa|(jdxbGwBeV zWup|F<^D{)pF;6+g#okSk?l$oRiFc4f>(?Z_)5RHFCvv@Iz~c?bpu2BS2%(VJxujs z^g9{~sp~S%r$(Y1D{*>g*5bW3mN2C1G(SQ8^F~Ra^ZgBB`k0vy$U_Y%MQZ&0RbYrI z(4L3y6tkiSyns*LDDhe47ylBEPSNasW?i=7I$&-7uO+&77aAMIb`& zfZ;zWk0!(}&jCvFQ!}rc2`pemF6l>P!f*!J+VS}*-c^g$h+qIKEyJ7^gq~p>&?sbQ z!K4!+W`0Qdv@DydEg=%EU-9rjfi+Ayo>8velIh)?<)si8pgSaWqb9p`u(x*MzYmKM zbA>_(Qu*#-Ig>)*dA3XQ2YTzGQOabaU;JbslSK7Zh&SAmC%X8kC; z+2rpGdg+Y3hVBi$R&JGK603|>VBk4H9Q0xupV zzO7{!?i#}jcWtk%fkrG$^TJ)b(?DBGeceTvn63$6r|2KVb6L%XQ8uvAX`rF0y}q@v zdG&_&=1?muQdq#dWRwkTavDGn>Q`S-(gE}UOL&)#vVqIo2HG!0JXe%JL?W!>T|UYN zu5cPyU*AOQ0lY})vSCH)O!y6>Y~U)VfjZvTXe;T%iqu)lYSN=@;A*FVwT*S_O2HF} z&R$lN8D#?Hqq^$YI{*` zW~)Zq=25n=#V`IUP|7sQ(cl2WxKmv{sR4v=Vr{558(I|Z(fd`rDBKfS6mD#2s&5Mx zg$V*wpMe2fBg;0dSD-GtdQi;lysyspVU~@m2%^xflSYk>RaTj5-lf`s3C@j@4qN@= zAHsBKqA*9COCv%UEUXP484b*w!Biin`|Nv}vgmMhV8G<3%E~e(!g>$evDDX$k{UgJ z@sAN|?I;2F`>+05K;ky+Dt8V*6G7$*2wTBH zl~jS#;J~U#mR=)}!J@F);=qPS2d$44I&pv}g~*nIe!Whm^T|yR$$(lx@HNq>C3wH( zlx^&aJ4Z^;;I#){Y5|5lR2_4zW`8{Y2pn?_JG1$LRb?veV$;wIfl%RcWzf-Jxl1Y_ zDWMXj|0803xC) zw|oUas~|w$8*-#Dj3!3}#v(aCm79-{Ev=9h{~al(uwS)e(nbTojv_-;tXqeMQVA^T zzXNZjGn!2FgC>C{HA`Ta5+0Il?en$#Pw30&As7HjcMkfIYT=ize|Myc}${F~nF z==_kd8e}4}8i>84*MTtgCM?x~%5Cn&;szF?G|KZaaN(c^0t!AmKjx(tVP2y|#Ec`} z{|Ul@l(MqhKkoRc1os>RRwF`M-?YHXFO8YP0LN|iV$nRLEnm#OY_G({#c>QBm7hDCH95+Em^v!;1#HV_Aq(|4(sbRGt1`V8-Yrg*jqJ zav&4D&oDU3!Mxos%3v^uM@*`_9~;^O`C#-yCP)whBWAQORos|^(pFooR1wQ~c~Wp% z^DyXOGu9~qRkUN2*k9-0f^|M4@Ol}s5p&Oof1gr!lceE^n_-59`)t1+u_-zrj&o|X ztZ)0K`&x^6Bu2bGn}8qTXev8#)|1AXYP}dAv*J%>RVZT*LZ($fGj{HE)O6gYeW*sT zX|E81>9dWR*#(qhrI~t7^+@L;DdID2Z?SJj6A^0EY!?I#b1~C;xyf(&*`B2~X>&e_ zX9(!PEM2cuR>H8-QF-AB2*>5pA_x#G1)|oOT{8fdvwRzyLtVD91=nAX+#s+$JXC5c z7?(K0!AQq+w9LAxwu!F5j;PCJz|B`|jO}=6RE)g$niKGF9VFcb%F-Yhm@DjZ4Y*ah zdb!LJ%vPDhLTZ23o?&gfBdpFKF?zyU?Xork(x|%xktnHFS%ssnO9jGv4PS=QlEDe) z{!HJNmOKjZ%$`P%0k5?ebWj}~5v7QgF6fCeq;-c!WUboiJS5f()v`#G-=Pd?AFHqI z_KZ?=mi$Ue(V|VMU2M^3PdAi@!&16yl&6M+qlQD$5W858S_Qsgz@5Mc5TI6fp}}UJ z+Ag&=qV%vPrvFCyGnRVpztk}b%qw!Dm5PGF+Br&t^(ucxbRmW!v4DYDAz4&n4pN2n-9+rISI$F}bd_XpBR*t!;Jfj{ zLT{e^KvJz{Rl%N?T9w_L>$QqE1`rOQaJ-Xp5Z31Vhb^rAy6W22!Jcdft^`_(V{qB7 zT8g=}TDiKuw`*8PAS$#^3CRo_tdkN){0Lox^{`TK!5DTlUGdh@O44oqiFOyP{n8d} zr>+j~Wfx!LpZ!Wsp{(AL&*9i1okJ+ucy0>KphodH~L0ycg~|Ain|?qw&6}- z`dxhe=;6P?pJpjkx2_I%gRE(*Z&Yfu_{P!0eUm>kfZNpEegQ?HU(nn@M|D&Kg!r3B z-@se^<81>b%0ffN27K|Yqlf%9f2xJt*52G=5XQ{B`1a9*y~97wf~{{_!x}L%Fs`#$a!+*EKN)3N)1C9|i;!Kz70CwAp?-_jq@AcMYHP2rTSJ!wXyA*ml3)DL z=%Ihuk(|1m7ROgmZ;6bN*8m%+3exlS8haTv~jA~UKS}?Mbq18WT zhh3epbY$>VQKXdEmlY{@nBxwXn8BIv#{?m6PzA+fhQb_FirKR-eth&a{e)lqeUzr{ zh@*g5tC)^X71J?F!n&~*Bc*OkOot_QsVQ{U`D{o>@spz`$fx|`oh1apMPP>@U5W^_ ztv5pX(vuC_>xhV{$;PKg-^b5{PC6>#Zq4j)cz#IiK7^i@@>g~Cv!n0q=X{ZiGIT9= z_d*(j?|RcCyB2!HImG&}QyX-j9CS;0wz6VTrBQC|qf%qXdJqGpV8cVlM$O^o7|YRjfFKE9Tg zN-;CVFOHrXU-EDIM24W|qh- zAtP!`t&#=uyc9ymBOrVZf@0SfqiiZT3X$22TOJWapQ8O{yGU9`sk~kmSjlQTKt>K7 z*Le1&G6PFfXkd+AZEEkwji|hMiw45Y!UO5kt&(3bJo4Sx{Ygcy>S$1V9t;6 zCTyA+r=fx-(HXoWrv;8Hke(c#8eO=TskS|E5eeRbZ8*(>Pm43-z`J*MX^hbOj7K~hF(OE^zenx2m$Tn z1p`{^Avv{cE}@m&;+IFS9=_tt4S2D94c*__fNs;=;H$6f9uIxVfm+`9nty_w)0mmN zflaf6uO9;JZ#dI~HTA0-+UWipi#bgXzIh1HzvW=QswTH^TO zA%OmoFMk>sE83qVUlQt=HaJq7=rq#6rqlq=nCj~^`Z}?Ki+iI7vEP*MP3^#aK-n&B zQBjOSNz6q_`W7C?0?=q{$`7P61eas9%m5?JOiCDyxghjz5;;T`tTl7-fO}4dg^h)c zV?rs87^{56iJ4MrbfDL4(;6LR|0ut9Qvh*OWR^;WLvz$>MJ&j)GwwmUNW@Gfm@zZo z0BUH=6Wp3=!?j@Rmy{&}Bemkthw{Kw6}{-Pc15aISI1nruXE1nix$%SKwrJ#lyu19 zq>@2U9aiB00j%1-PFyod`I~4}+h}`60)OVwfgku2j+B)_g>)DYe~CjOs)hv721Q00 zMa&o#Z%lV-+gW#)*!0swVAIe1n@cr7Z$ChYe$sF$~z?juHTN?rGE*W^8n1w259fE2fc{ z@TSmBZ8lsWAd^jsv^5x&}m$q#7KjK zy`enY;GCs={MbnKFdBozP#0ASn#V9{aHGP5fe!7?fe(~}@l!_K;=lqAtZ4Qr$}r#_ zYBkQn^_8~gC{Uf6Fe;z{9fAPtY(G z!xcIJY$OQ$;}8%S^4FF@z>NSK2^RMp0v3CG;oJ+UgTE9D8tG2RT&ht!rZ#}bUPZi% z=30)+%A%v$hlw9<@ZpIK6|)PrrDa~(nMLCsN8!XATeNd;ZU4;qMWCZM+sXk$k7L62qO96oN)n*Ur)9mlokJ_~=;WP-+PZz=9%OJY+EN*C+X-|mgWX=c# zA*iN`q3o8`Hj|xFEH=>bN!#E&#Us_M4X&e(s~cR)I=Z1&3=+y89Le$lkR8UMEgcwv zPNzQ64#&bd)a!svbKy0G5DQm)haAfRl z)tu5|w_8;vbm)JbdZoH?DY&6tr(bRm!+s2IGfHLYYd*R#yZ!qPVG!^4Z~9O$i0Q^G zT3*-&7Y1V&?e!0&X~zT{AbIZ6J5312CV8mj(vhg}sz?NTe2}rf8(V}+ar`MyL9si@ z@mBXR7R*YKsl#N{((bk$x#)|Fg=8;Wn2$7vV3OJ7u^KK&Q^ruam@<77ToWp!L^TWv z6x1}M=^C4rP#vJmx+q*ruMe_f7(sEfd>bn2cmxptF#I68wWNw`!z`ICe}t>0x&$l5t~+LHQF7=_ALEKn&MjmFp8bt^I>itF7y#fdskk}9x;!% zfqcb1Rx;BE4q=4f=U?Lt@O&S{(!rI_O^*y$Y&eeq)%ya+u;F%#*(xuQ^^l5j5h4rE_A#}KOpJlVdWls?v~XbOOLbSIEGU5M z80cf7^ae>&YdsGf0-YZWUCc+Z0l4xnKe&0zFw|CsuvB0aPpQ*j^jf47b94i!YxY14 zkwWBFEjXWOV*~flA@ucO|CV)uOyMd<;~S8H>wy_aN1Rl>45U}qdl6=sc3g{8fz-bi zN$QI7M8O#rMaMoWrEizkt5L+1(qRaw8hk@iFTv;o!zz43RnfH^4Hew>VTj056lm8H z>az!9aIs(uv=?STkeRi&VwyyTs||1zD2XF=y0(BNRk}g5Kp$L47X~r|g9Y-u0Db}8 zK*5xt&IV97YD5`gOV}71vEcG*_i|f| z`L6t$Xg4YmTv3Y%M$E(?y}_x+i&>5|94x~jR%Jfz zs&AyRjn-d>JMjmyv}j+eWl&9O%u;#?W(d`aX44sKAak@iQ#IUVcz*!Bwfv!|i~3%^ zF(->pg``3yX?DSLESe1SN3=nAsdP^K9dfA2 zS21WiWb}-ht4D1QILks>YGjN(4%A(U0p%1O0Gbv9)vN75i_$LP8Ett>5VN|Vk>LTU zQ8!q%sLLH#m~d=RNoKUWB+Y?})6nKER(h1|PPBruLebRJDJfDkFhYzY!ZGwfu*lFg z(dI`5gQpiO=rfjKWUSEAMbC^LB*vbBz`Gl{@z^2A(BuBi50}W086xPOT7&1X+x#G6 zn&>tUI5~WST{+R=HAAq6N`c}bI+S%NP~H@zMOR&pKlDlcHy2{Xx-8TX6{@IjDN{qS zGf77W(@9kmI)LQ^{)V<1*?LN+fNOey^@}O2>I}_zt#zTxOMtKtyyVAUNZ9RW0t1kFnrQQiu9;OLBp=$-) zqr27B&iZ2b_-=WabTg@94=^Jl*19KohplIh^x__niidh}tjIamJ1kg8i&`nGL@fHk zh7rn^T<0})O@rGyvi(YocvMy+TF(7QF5Q~ve4v&;Ya6Qn6AQ- z^h3_GszqvtYR1QBvc0!cNjJQbb1IXE@7*5>c?@RJ;{XP?ux|i9~%5- zNcf}N?Gbp}yC5Sxyi0v&*HZGdE?k6WDO4onSs9bc666?dj(%iGR*l7kDrq<+Cpq-A z5nsHffe`=Arbt~IxkNVz=r8SB!>-0hov|7`Am=b>hu!e)%`KdY-+DNaJrC2Eth(B6 zqk`)E*Hecet55qafn{Hn#a)b9y7nO7yDVky5HpgZzD1#O^{98w$KnJ4{i9XGXAS|M zXI*?~%7ZlvgOKic1$-jMjDZv3^V}ie^Sp~s9i2`w*o=fe!NFur9>(W|L%`=n|K^7R zhLy5}_D(iuQ%y?M?8p?zE6dTBi#oUD@de_-U|(N9J`tV9C#tjkJD|WZOxSCw;N3&g z93#gXLkR#cw{!(Hd4IRMsl?jpoh}ktG85Du3bBV}eAG&gnel<(Id#Lq>?%KPMT~om z34v1=iZ(fy!&Wvl{26kkos5X7Ubf?CB8^+ZIDBqfuRoR0luGj4$S5d9d`tx}CAWsq z*#=PezlEC(Tk$}fxtG5g_>6YAx{Eanh4weC_Eu~g)ti;*CaHkfmbZB`pU69fp=@o)+b_M+;{8&(EeypSgZwr@&WCq?0wZmF=M~0&M z(CJeboJuP|r_NH3h3c_LJr=9S8S1g*RH|J~rO#9;XQ{{8>T!;GoO|jVF1K}XTVJX* zJJ7!~(kdhjtrGqkWo%nO(y`$Vk;m$~I-t!u*xaBSfki7CsxMlnKp>n@B&EKogDcTg z22Jr`K_%7g1(b*>A>HspJ>un{k|GOFRk_ZvGfV$w5sQYUwouj8inB z&q+nludZISQ0*{OS3_iJvouwENptI(wuZ~6z)ro~Kw=>>_- zSbTPDb*>Mp;xkxWVi(iJAfVWUuF$Mk(P?EWu3H*mQ+`3H!Z~qxlV`^N%+n5Gl^oOm zMcCRbA!r-{7U|eYhD3mf0*enLy+VHH_F^P3Q=P#O1?h}V!iHNQ0KIdG*Ibd>1BLC`S|TjdnJ>{$ z=JS!v5Sd?agt9Gs!#dS{(V=ws(>0X)l9L<0Go5n(>GZ|fG^MPU&xf!(Bz5n>Rrmdx zQYp`yX~^o7aw9%X(HRckouX}Y^{FW{(`i)WgqbR<3fvrPN}cR^C)h^$o)N^EnOpEo zp;;w`oNL`op;Mdzt5zduNyX&Ob}{C5g7q3x=2VA!3WB~{%M_?}IH(GQ7)+#|euNGb%Qe;2E;5B85s!EV-hQX` zIM=~D;9wp24c>hTSVD6RjN>$T_ftlU$KV}I1SV3u653tx9!P|(x$7%<4@NRwN5Ok2 z0yOXvyoVEk<3{aS)#g1CLDBdC-lGv{CZj#?Jr=>j^$fhn6W$SSpXuwV=%HBH^bIr4|_go~E2%K@Jh->V*+zf}0PC2&p zTwtctmd3*|^IYWQMBw-;1?$*&E-|a5gk#{j#3|0OQZUH6bJlDZ#fWL=0;f7`QFXOl z=iFL{H7Yk?8(VY-eAJ>dfVmEUh&ku{c}{-BmUI4mhm($_=DY<7??f|FoIJ;hbFtH0 z`bAARmpI*_UtqsEYhl8hXxbp-&54T=-UOSN@8h(^32%}~W3$bvXE@c#W^>{ar#cKa zr=98a)3Mf^ca}q=h^glMvz@w*o#woAoPp{ZY0f;?;eE(LbM8_HtYe-zZl^Dg@|_To%vF$tme%HVt##|8^;Uye z?G3oFt!;HZMgNAOu3@MbZ)o5+vq)yWW5E$cowK;EEy2}(sh4qG2Xsn5D#ckBplX?q zFL8bY2vqV}Y|gn5*tA8y=ffEnp@*@b!QtFS;8Oa@B+gn7h|w=nb4C+}UfIu5bBSgu zQSRGaT%rXkrrbAoxxmE<@36>?qnzGaLR|aV2F`Cohe~gMjC<$Ca8A1vNR(b1&RLhC#h5EHIq!0ekVu|$?I#zx z0!Z0lNrTjtpj9NWgbQCq9kw^mb5a`EIx#Vvc6Gv=9F7{`yp}=kzX=4&CI)safKzxyN7K!N}>|!?PCsWwizZr;)7 zoTsdEfiwFYYiuj(n=QD&wT^pl3zWDu{eFeH%#mH13c>t_9D#yA%oOLCp4h1m)gAD? zW7PD>o>Ik0y^C_aUEbl*6e>UHdxxv?LD-pB6TDNI?Y?(hv`pH@qIyRMrGr$ex5M_` zDbX^>F|OW`rD;^>I^R1ojDIUOJiUnqclt%;cKY5?4o;2rP3zh(NU!xKF}N*3$>HBr z_SL?3yrNGJR{rUHq-i$Y0ZhFqvB^~P7TZerera!V_&1fk)wU9fHX>S3tdTb*HkoSP zW?KmdiFlL4zp3nNtb8d)kC*IMZ=qckOjL0LbLW7QL^WP(dEd~Bt?x`vTW(;GLk7IV zqbXGWb-p)6QL7D62kq+Ou7;+DHt(=V0+qhq;^PW8nV*Qfa}w2feL1P2Ibo^Pa4OY% zL&>;ao$uQjjBENu<=$xd;0zOJuxx+22g_#Ol-Ojd`6kN;qbpV;5@I0hO%DI2vTwH9 z!{jlqU^jcN*_i1W%<@kv`4&shKwDhYyb+q_ut)-xeyf%IX7;ZUhVNC|S1R!~-dl>c_ye+G~5;=t?1d_so-dsAYQspcJ)GfokEGB>29Z7S7!N1T?{ zClNwgTBlK+clzEW)eHTNX>YuKq1<;_DqLi~iS1R}S1R#t%PSi1!H0;|_z!+l+4tBH zV09h)M<8vW{d5YIf3KxO+-U_`1KXbK%C>|)?n(9D=X)orK3Ng#41em;n_4c1>c8Lj zPA*eF=X_<=n_dFM%_c}6u=c;CC?ECkj)_mFx*zntX$lMNM7tl?^Nxy1q*@;e#)JD^ zJ&XtUCzbrLwJpv;9B+d2gGznG@;7|!#+w}eO=a)25S=qPaPETxIaKPSwqLHMQciK3 zcT`Lw)w;`4GGfh)r*}+zI@P_~jteVyHohP3sIj{?jp}^Nj&5_czgK16DF5S@E``v= z``+a6Zz}rgO> z_j$`56L#lSnK#P+g4Jp|yv!S~UnuvB7P5*tgQv%_XO#CPOi=8Y4E8E0>&uv5^~AdC zJd5}W)(K)A7|#7FaxGl_`AB643G~-6MX|Y2T}1`Hjwwn>0ax2_Bi{gqC8d<2At2wx zgw$rkfAvIlvN|cMYC7}yfGFK~SP(U|XJe;m&K`; z?^Ai=SVsPsVFO~s)KdKYt)oSMT2dUF5K}8-Cyt{Y*hPToKAIi)94DfGSO(5doz4I~|Q{=ED3i5W?8 zQbMFEl10oU%30OIYd0Kx1s!)X(`%+xTR|pKX_hPH{NdxvV))NC9FoTb~FGvzzXE6OF2&!>1(0$q6wl zh8kivktu#t*%PNSp=Os0R1>vCDxwEA?#u7$BV;dTsRX01@?QTiG%iO*P&iCR(6tO1 zL14@nR1^YH%IrK7ePbyJ3YRSBAmmPK5$iUZ1sp`%>5e0KOL|ou$fU1>df-r5aVG1e+`EW7O`r=j z63XoMcw#|P%u9&*AsQQG#A(!x5EGGQViP=ZI(Ou>^0AI$;lH6PaeG>xSdBSQf#cCa$v0Xy8POg^sHinyqD!2M8BMc zgY1`DILLh^UPJt`BN(%HhcQse24WnP-YcbDEiZc>oNm+V}vr5qb>Br^EG-#Vj*aoe zGVc7+(6|Z;P}i5cT_4D&cWlXTBVi2Gg_TH48T6v85TX+$N;4455~T^j)Wz*uFzI8R zW>=ai5W14ALL}n}{)&ZBdm-V)YpB{4NwF*;mWQ-LKuNKZ_)y01c;Y-}!^#jFZNvz1 z;e3Y+2{;~M22>z76mliH5U0xR`h!yySK~cVmlWqE#QE{v604}oA#HChswY-+k5`2( zlgXwIudyADm<3Y^@nGah#7*PslVWv3tch(}tR<{MTyh|KVjbhPHZ&lD0)*KG7Bgt* zJ_?@zGl(Y`2f?5i#$Bnz6VN?A(!vuBNwF>=E+~V(xRCl55~5IuoF^{g-dz~db#4*r zU!&8%pg*RMha_t8-9mq3*|4Q(glH*kU$hclu^yu*+8DD|3)b<>3Ac6!wIw{)UoMW;6KTf!s`6)U#MKV2 zT3n;MrNogkTcsG{WqOcFigZF;T?RGLL3rD$v?i|)`=IlkjC6+`XKhI6bVg9gEjqs$*s6m8xUtcWI~`dRrk(&J($$*qjhs zVml$WQva;y!W}TDuF%12xPx1*rU~U!4}1RidRR8h&lB5{;+ll$iSLH!E$O25Dbd9| zcd<9rMVn7u?2GTBc6JU)NFzRH5WVS%Ym*|M5PhL8S+qnyb;*idH0s?G1@2V8RhW?y z)U5&P)|l-X9)6Pxd}t0ImEgbw8i>{S5+Obm(SZW+=N_>nW{IeL>BbZFvunn3_TeVxqoXZd_DJ7BVq_nO=BUS}~`(K?n(Xy5*+lyOUy9LR@cg4!0_9Ae^lo)Ls-R zeJIKs8Q~jjRVSCQEml;MKs>`objdq8mONV*Bx4?WJLvvCw`VnWGRp?Dyzkzhf1wAo%${xvJPbw7qSms zRBpJ2&U=U1NlGa^I-rKihW$ey#YN~t4V51RP(m?d3EF3vF^I$5ny7KHNuGP0TZ@@{ z@CnDcOOGJDWQ9I5eZv9n3g;4VetTSV$5!m>b7VjYh?!|s%$_zy2jGCVwM3o}NpWLB z6ywH>kTjYsxYa7Oio_<+Avf`$l9pVhg=lQuOn`<9)$+uvlj5d?xVb`$;ueBrw?v)R zc()IsA%lo+z+k_X@w#OMht|by1ad@iV4iqQQrwylw+)AYcrC%SV&|Z#4##=ob&TI@ z?Fvq`2vO~J0-|@*tfSCr0^I04Jv#dOqF9~KG85inHxWz95D(s_ zc+g1(bzJ8bv(2Zw9urbW;Sic~k&QkRPX{iQoF4*PLPvE#{y8M$oH9hOcRKX4Q*=W(kfs9RK$psd1Ch#1(&Nrm zdE#A3@s5OeXQa~(!s6Z3X}g`T7{o_eJ@Fpy?Yr%CD#)a6zSrrd=^bY26?!)+vGBzE zlHxrH@!l95#rp~QKn+C?+j`;y+=cfCDlbxmI`YAPOGo1Q@u8&nKtg=5EXv};)T0Q+ z&FNrIe1yC6;Yju1RuFM#TwiFVhz=(oy6lwKP7t{Ono-pxe6%WB$42@;`? z#gmv~i@*k#u_O?*5}|~&xxxo=)7V5dHz0PA^WzXaM@}Jc zR_Qor)FEzmFM%NOUrb@*#21s8SnQ(mOLWoQ zXVJN;37G=hqgiGe3&xihL&!kPbeW*G(FRgXo?3;5ScFdGLMkfQLdZzutS-dEE)x(l zLNQFAPm0eb#OLflk5E;7A;cA`?%dD>u6&WX@&(J4ND1P~m((yv1fIhI+PVb?;9!S1 zfN>l{2SpFDAs7Y`-FSiLX$QF30CYNci}Y&2%FZG!MY6uE-LV5EI`^yR4e4IWZed;NKZE0Fhgjd z5MqvGLK6*lABZQuo)lkAh_A)5QGA0KJUobRGOxce{0(B_Tf}zFXp&^ZOt_{Y*@KOW zV<4?G@Xj_wJTgEb*u$Kcfqa)7wfDrglj55R@vUJxDZWFL2psj2TT?voT_(bJg4sv3 z42k{sSh?nC6#I>|c^R|TME2Q;Ag|FXmxe;JH{QrNg*fNjV*n*#!%!G6x)%Y8 zVi>`r)!0gVCeR)6!U6@Ng~i#6p%Y-9_;FJFFd=?q$rSKH{De4Y{U^TP#}hwg-u=W< zLf_dZe&*=#G276cfMqoW`;~WE^oijUxL5i52{1B-Bc%1qkr+I*T8v4ouZbwb#Zq>F zf0if=0DmAmV4d~2EL^kT2^QK_GMgD%dISgKSwy@Gk5E0H!MoD&JgQB-^5c2<-93$4 z#4V>ab;E!_a3EDbPl}%=#Lq&)BYr{hWCM5bomdq7C5zK9B3C_%Us2H*LBWxOcub%@ z<1zVlQv5O@e&seRenZeB^9fJ~FWr1;&x2pQ;d7Y!N?~~%U z3Gury>f#TCpCvWVb3E}!?#&bb2XTrwzQ-x>!CnNJ`%Vl*Dr}zuuVs$*spmzSo_o%OxmO8VJmjG8#yM}NC508G6 zlV%=?LMP2SVtNQA5S^$&lUGu$&<6427W%+ukO6_mrH*Al7sRM6jDNi<=$@#hdz3>| zq|1{X)@A5Z)pTXDr7MjdID4@w4xU~)qlAHO<(pYch6i)b_(4@>cw@^fR#W5ZL$(440~f`2L(39Y+m%LH|&o^oIp?fS5o|ELj1)N4Pqz$ zO5z!djVJz_#q_V1cn+>KbpEDH97mK`5rvtv5*(VXl!_$Abc`&LYi4w)wHe?xjGIM7 z3zj)3Q`SGRfSk>1+OM#(#YP;m?2-gp_s*tFNW1#RN6WQ=u}To6pn&YV>F=X_WN$d*ZV2Cbuz%jjyN9TBO!*$iLuxd(h9Eh zc;a3b@I7`gI=Lj=dr7$M87`{}XbnTHTtK=LU7fUmLFSDX$`B(0*{(#{(G32Wu>#q) zOm}q)L_4A^Nv72(2v#W|)8$=8NOZ|M1q8TcodUvZsUBI5=WhV0MjhF6Lc~0=FDdR# zh`qKG4(-K$;+a(iq3b(6ae$e&-!|=L6W8ve%2sEo`13lB;894DYZSWR&lC42#esyl zFSbQ-kl@(~E&4Ek6<`Gr;`ab!bI?j?D4)=Ia0KX-TutbShmzufgm^H%UGXphwWM<| zDfPr7jM2kZsGVHG=g|@1<6epAiN})Sk%V|Ot~v4eaL_#Q1mp9#ojsLugwT4D#@g5d zefW}BO`2$ysm2~g*%*9kj)xgW8-|&R-=x6?UvO+EeF~8RH;*j++@Z74?^+tN3?a*` zM592Kp>*&Y0 z#9$ywI~C}9*a<5v5j_nnQE@qi%tVNerz3y>!kF2u`FMB5jMwqx)OAs(B2(+S-a0)w|B!{c&C4?B1C zsUsCyjqeiC;KXe-_KSQpMBxk~q!$c85#U72;F1fL@lgW)RMGKe4peeJrqEO4u2JSpOr(z~ zy+)a{AbQhcuTkb)lE1j4*qjOZi=brV?Kz)hvGlfN&VuKBe3{#lIUgSMl#+uboI@%y zdRsDQfJM_{Z%gJ}@ave;+mbm8j5|7dTQX-rOHMC)TQZk`s+?Xn^ps0LYo1WuQnPk~tmfGeTUux6k=B(xGoZYz zN6E7Wve%pg&1H2a=d`Tmbh4Ns3lDgl0gYv?1y{hIeg%v4Rj5v|Kf+a^udMgZRn-DM z=RsjvSBLYoXU3V(R(9XbR@5u>TmVYT?oQeQ?kYW(@+5uzMkdCAR+*gznIuxekBN}- z{Cm8rX{+s)LF$t<4#?>d6HJ0*ls%=+7jr61D5lryItu&h~5(#-E)r!#D8d+36 zikm$$LT_7w>3uZ8h&cm+>QlJ-(PgZ(Jce5@W2aI5Sgw9dB}*=+5{%MAqNqKMYfp{0 z^YS>VS8^x;)sE+C$Hh7U@&u|Ce>eeEr*qX4%DV~jM5-TiXaki`;>stM@gii3@s0Ws zs5gV_rD9zSIg@IYKWmEWCv)|gl^qc|OTj63q7!gVVK}oYyDM@w!6|!)5MWMaFtaQA zG_sbUL?iD|c@9^ujq`WpT&fe1bnEe;?mVtLHzMh_1WWpSf)Nw^hUyEr`us93lRS-T zI&p!haXQyHE#gVZg;X*wtQA!jag~MTJT1AH>V|^tQ0WY=w7A3tlS`;{5OIj2XL8Xc zVaH6KMdjQWLzFz5OP&>V*yK4>HYWZMRnFxq=alj18{wJXgwEQ6LmY~S}Ge^K0)zyTzqYeH!3e+=#DRn;tgEbJ^9Dj(ekLyh%ZqcP5(l}+46$eTrtX0Fjx>gCE7ZX@F3qQ=Esqb1J!m912# z%mO^BwsF*c9&r%gYFGbZ>SfU@vE|m&G~I@(KcK{SkIb;dzV%>Xi)j3hS_#y6muAMPTjn zA!p%F|G-E9ry1~7W!!gpHGvL0=z#-268IU0e|4offb5_~!UR*ZfRQxN$qjUbaSSFA zvWtL+>87UOI?)>g01p)IyCM^be;3P5FUT zCe_u!&2CrN=(L{GyE-{}hkuwxvIDbWPP)z}QQQM3?er6=TKOu!I%BSFmAm{2gT2Ae z>;1(1l-%uC_2Wv4?U*Xc8~mDFzx{P1=NJ8&jEyOl!p9*>=eOiCH~GOwTI9{tN}u_T zihMPfxW%uwSLexF{Ykj;VnL8_8<%;F?|w!`zSf^K*y}_T%h&m1<}9s|x1(bz`FejW z*}#;11F`&#{&=+tlagj?oOX{#oMC=iv-B+XYx(Qp1#QTR|`6m;D-0gt)L7vhWpx_EmqHLQ>ty0_>a;U!xLVXCRw1 z+q0>`J^=j&|8C6|2Dj1Bmfxg8-}3#H^4tEn^E0^nq)UFszJ1r9Q`^#$#nyt7z)Y_u z0?3sb*pf~4)5m#Ii@>^+{GLB0mFewD_4Q{9g_M%I)8^FF$nTR{J*LaVo!Bn`q_<~y zwHNL$bn0e;wzlJWV83Xufc{0nNbA5}uOK8;p1=s(1c}B=zQvIp6 zeuNbO@hb-L%Zl8Rza}WtOQ5*?0;u0Gs9z7ySNU6l7ZBZIMW_7%=ywe0w-s0~e@_tH z&p)8rAGq4@qaq>34T&mRy6?Y7JqwbZ1H}6o+>`f&suR8Kj(-~@N=&C3_s_IFYt4| z_zFK4h;Q)oH1QpNo-TgC&xPVA{9Gh{!Oz9wH~c(9{DGfK#GmNCDbMHURdN+S({c?zua;~1nUNRpvqN6U&raFM&o0@-&#Y|WXSZzS=VsZ?&nO0SM#%1cJMPVyZG5ByZL#o+``W<$sh9b%kszk{EGZ3 zKffw}&d;yOU-I+o^4I+QhWsr*zbSt|-0J_J4DiTN7b zE)7oXg&2EcVQF#=l9%Xi?9w<6+^2vI>h6ex5Psdz_6H%T52^g?A68FL=$ul>lZW=9 zs0Mw#CzkJ1rFZG18+2ei%g?Iztd@c>$lY3*iDoUgmJOH)bg!|2suU+sxC_dqG` zVP0Pl(2-TI*pKn;iN;a@RYUu^M#~ieP0 z4nk>bn!V;hDCmcvz&x=j0&@3Wj2BN_aSzn?9+rr!wM4vDmwes5oZO``zFp(+dX2*y z_Cw)#Vv8<)yDs+nz0foJpkqAI6Q4B;S$!oyq18Mw@BkR61?u{TYVOx;e&ZvGfp2UAIdTxJ8J>T}UdcOS`^}OR*^?b*3utlD@PWR}Y`(T!gxEz2Q z(A3Fljp&~qSy~g?7x*Eixs7v0iOWvR*=slYLQupO1Ew`_K7~OmX1bP(8_c18& z$6*+sKz{%$e;Nw=8MLU8-TfRedR`&^-WOmTUxb2xN&UX%3TN0%6(`- z6XTYH@Dm4md<-pW+5FfOu%nv2AAbs%J*zCojOw?;{7lx}(yGX#y!+dXmL5FGP+;Cy=GLG^k*ULRGjtMK}y?l$0e8AE!9 zZsV4Rz;zA(9gko}@+iF5$AG@><|nl!+WsWEp}TqO)1dS-OyOs>%-*J%akuW8EZ?=C zX#4%5X2Rz+6Ry`7eL?s7i-<1K!*r!5H))yM;>pW`R~UPy98#|eUX9&HYDbXT6})x_ zuUqV^mrVNJ*fGhmcp2}J(Mg+^tIbQsymXqEF7uLAHGOX!y_g^4tCBU|kdJ@#a!&#; z6iWE^~-@Oyile4s#T@?YmiWlgo6|C!dVYYR9O#BLJL&( zp~(q;K75#ZK61EvK6*rgF?sCBgzphbj~|5>s`13p>iOi91ZO;T3}91 zqlTZIX5OAV&b&Q;JiSqc7f$dMs28WJ=SwFlr2cu5dJ=6r6%_Z2H~qpMC|d7hud!8iTuyz^1T{5Zc3KWcPN-754m z__=B|QF7Tnr(C}Lo*yTJKzK?7hLL>n#)5WVoCpl25~B05?l z)41ODYkkug``*+XbiTO-vS&KkaxogPUAedwzkF|k2G-g}Kk=Pa{kyH5e+MnMZwRDs z!$xEpup2L-l7>Q;Y~p$b?50ckr*FVsdYSsoBZJs;+2u$upf10Hat){}uH>=?)RkB9 zPh0b%0F~Rgd$BI5Sf-Va$ZF3G;u|ghXP<_rC9W z33|PUUj6UA{4)L6qka@U`f;!NaX$aptA5;gFXil`AKv$Fn_Kd6#F z7&k`sI4yrDe>7hHXmWDM2cjNlsd{PoWBHTu@+YAz)n;1$RQ_zd{8=bVHISA+m%kV< ze-X;s%WbFSFXgYs%U^}E_UWu&%ioNbzX@gS*IB=nzZ)-qr?Y&<@PN+xz5K&?`3GAI z-BjJ`5(zP;N{lhx%!;HKTP4PhLpO;GSBr6C{1`F*h_U!HcHCGo{-|;OgLt1h9vR0~ zffiLm^fsQT;>YISWAi-*!p$ktWkrpcP$eb=%}o@O#)wJO+$3sll4@=eH8;sNH%T=I za45v!6uGlvvN)_t92S5(TpTe*96{iYAaF-0a7RoXPw!R!Q{%)D$5rc2s5e|Cj5O{J z;G-79S3Jv#BgIiw;-~=r(PGM2G3A)CL+Cml_o&Ane~g$yjZUFPr=U@yWgWeaC+ba^ zS~X5gQ4NDSQ@CTEr}C-j9FtgOv#ah^*$+pmQ%mU06!eB6S5ws*>e3!ct)@QeguV9d z9#w&wTBb_T>nS#Wk9`{=_|;UVhC6W~proMuIEniWCHC+g0nY(riB1`(ueh+wEXwS?|Z3#4Hh_k=^CyuWY#|L6^f|x#5Ojlxp2Z;$D zBqq~IOs12VOxI!poiJUC!7O@Jqa5mCx>c6yjmDeFo=vaS2_Q9H=^yk=m)}c`pd+Rz zIPBKvo=ztfKix{)blowkq*TRu^g5mhp-b(vZ}&LxA)M2vLJ4Xlz*hiB?56}8QDm+3 zo62IA*D2VLj_EWmG}>UlYD#rejSEPJZr^5;@DOU;v{OC6DsjL9g$e+fd`3g5Y09EE zVmoDV4>^l_Xmq)u0~1em1r2DfD;FRuP826qiIW1Zr^Jl0Vus>69>jG#i0dobV! zGXk#92)I5Y;Q9>9b@fK%FxlYx48`>smg~AaxITlpZi)hNw?1=y2626c<~kJ}pFpQ| z0%)Y)_EVM;1IVVq5(dCjwQmQUM#0V*BnlccXdI2%fdE{c0>?$cI$Z#)RghIzE$4M} zHk&w4*nsmhNN`N`5+tY?>%kdH58g***_uEv5COT@%xVY-mF3QJiTlH44c(|1>jm8? zgJccC9aZLo3JqTY(mBH_637HAk^?+4vSOwxwcqu#*SEiKPWtFsee|q8x7q7R8i?2QguWEyM)3gXqoee> z%fTjnhy&Q9?}~XGFBp(m`cj;b@28Y?^2~JVVVe${G)?CsIA!|$lz|`hdBW#@7WdKw zeReEJRxh&!GD{ybJos8qvlfWFioKU)av_sU-%Bs$Gzky|SL<86umufgmXakuR z`Wdlt4AMfsm2mwm;rcCBkth3A!f~VIds?tg9`{ZmEs%}0&@`Qk0LcCv;Qc7mC`8() z0PjZ>BSZ9CfJ>|b97hvbNTHF%j!S69ehYBes-I!aUf44Bs)I?c|B8iavUbm8VBpy5 zFq=)PBKGw`gU;p~IGregp$ztj?NT^dN=t)|RZuwd!hxZ~t)Epyza1+=FdlxT^yx!gijm;RjU)Vzh&ItvQ#B;VHAnpfekx_$|0(EH_7TK)C4{XWf^uN z1Wq{u*M}R5a6?Am#<08|mKy?Sh`@3pu$%}i&j>8f2rSPCEKdj+MFb)n2(+?^z;YrG z#{hxqL?N)82rN$saA6?e9YDY-AQ0690?ngVtb`9rW%*-{AN_QWBqSK-_UnUu#Ne0X$D2NBr0~?h>TC4Jrdx-{2 z<`a+yood)FS|-4xCa^e_cX?av>=NV-ie_F+mOV3RSGJToaOL2vhsa7dR@l3YFO+O* z9Lb|+fJe`OpI*1yOq?A9e4uc7N89#lm7W^XqwfIe(J4)^qj)9f>N0SE4-SsIw#`cy z!#O>7I97?xyFE^qLY3(ivz-?fO3-7*K&r2u666g$>sOw1$h)a8V~K>cG_pnFm}Ff)UFx<#@-bM8Vam zf6D||+qZi%whCxGg*Sw*+M3mFDPZ=;&y8LAH{vy^^lI zGQ0N5?Aj}{Yp+bMZ4_NQvf$fHjL@tQ2iftsmVHZ5zSo6w)k)%8-w{>^s9l zvx@dyWgCODa$!WVci^ye3ihOWuxE7wGEDOXWDuVKTcrK4Q}zU2%?fc)vgazZCw#&w zxJ#5F)n!kFHP8~~PdMgxhkJ@}PsaRP!|HliZJ47W=4co^s|ogMg1tI}y*h)vI)lAB zfo&AQj%U89CVSw!&2p*>ZHq`^z)d>K*c>+ArCm?vz ze%KkoZc^y2!pkYR3uMdGPq|Lt5#C&cH)oyR64utk zTGI&)by`cE)>5an*~V+Ljn`%yuT3^KiZ+gH*tnI=vT7}D9LK=M)ro%gvr`s;OEe9C zWgOmJ{-A`^VDq?0mRcG1F822Dg2zR|U``4jU*`^hxgQ$3-#WVAI=bJw?0)Oq{ebK`cfX}Q zVO?@RqiDa#zIHa7?zfKa7ssq5sTUIK_(EcxJuty8>+Goo-b_BDtUq@0%MD}m%MG$o zu{2HRBB=7#<)Up}EZW%H%g|nD#TXEDN>Y1S#p7CW9jiEw^s>vw*zQs-v`#U?f(l?M z#-|*-yPQ1!+L@|H>@YR>xu6AjP80z7xnde_$_o@5%`NP}p1# zn+-uUL~t_^+)M;FX9PEA1UF{{Hzx#*B7%_(1Y6lea5E8%W1wnvq7d9n1UDxHxiCQS z4j||h5NuHo2vV*FM+991An4P8UJu;MUHGfdMA z+unx>*06yECmZ&RZhYn?d}A=|rbAx!k*M9AI!&1{$S`aQD2I7{Gi#^5Vpm((bhzTuKgm0die4 zyO+yO=OyF@sAHk1NK{+H{wc&YoT*oT5**(OM)umo7Irp}f)_|c?dimq?#3reH(^!1 zaT5k@YtJN21zfuSiaU`nNPk3B8}$81GiW1BGD(IA&jM!fE_{;ywXd~R=lBJTIhDp# z%&}7YFfYJcZMQK_WmlFl;a0gecJgxF&1W#MwjAb5@i@I=s}}!4F-rgX636PwPe6;3 zR8dF-ZCvw&O+A~Y%EX$0?Bn8mY6?m75adYb;~~kZE31$X(}h~yhxJ4JO4KEa+1CC=bX;^=B55U z`5?8Ll@!nAc{YKFRx*+hlV`T~x5r^;esjEov2>g4mkg5MXpU?%d!gB0kA2Z;?SNr`R76WdRQ_Z8uNIkEjz*j5kQEU}?sVnf5Hv2Dy~+nCX| zxnSJfY)els+nCX|dAhlqr2f`mn`bl*wYOAxzD8ZdytmCB9FWAEYG^5G`c>ETr^EY; z@cyjn&xBA9!8AofP0=s~qv1mh8a~vZ;X@4?KGdK&j?Lf?$M8SgOo*EynXZ6>Zv^L5 zNRO6Au?UT9lufPd0^jBYViCsx{^~@(^vbKDILABYry(;lF z)eb1zl}wH2-C(|g1Mot3;q?VI!I@61w1aq$;V|N<%~eBzk*uT*U7S`$@#?G6Uz*(` z!;*LTL}yW`{%NZx+33#;Lb{rE3XhGpS$o>*fBxo zl~&#e%lWmZ$m?b4gC|a>G+uq$C1tQ=3M?+DqL)lnnN1GcZvS}j>;&bM)IZn$C6F%5 zmtxO-|0rmxx^~!q-nGU**Zs>sa)hAb>g4cGljVR>GhOW<>n41Xo2FZfi27UOPWZNA(I=v6AqDu0C&?d!W1NLzEPD^Q!^3~fzGQj``Ig=a0}^06-I z8#{SN*km_ZNIJ?wq`GPxtv+FAxvbqlft&|MkU3o+lPPJn&!S(=%H2uQ4yh~i)D}JO zN;(nrmyv7HUE}|MIl0Omo+sJaJmtzfB`4%O#q27`2_uVkoTNIdwV>u~3}yI05k8R5 z>z@tx%?!>!UwaQpAYxX4fj*d{giWmmUDlS z15T22rOWw+@S!4nD9ib9cwlaLfN~z7oCmU;2a+6clALdLIlmY_QiP9WIlmOP*TZ(J zL(s4eLBl!(4Ic%!bB*m>V>{MBcy8x$yWQ*#$KP(tHQMr#u$+&>vdw%v$2lKqiF}#> zx0~DDO>k8BO2;F`guk5?%62buaR0GgPTXC-JNM%LBMyL#YyfQ?r}8f-thbP8IX6m# zI0;ABc0amM;%r|$k0r}?b3ILvM`^;m+9}F~mtvT9pcU(8$WJBobd-_Ii?bvWYZXUeBR2HlTM3An&jm53b;XP&jwk1Pl>%(#~WEcrn0C zhPc`d@vGruMfg}Y#IJ>&^{~?nfrjCUh7UA5X^)+>$Ifhzo!K5cvpseudl*G~L^kZv z%I49rllF*XptX-dlyfy?ft|F+PO}GMn+pS8??75`3iiMR-rVd=d7^nNs$?H~%!D%q zXhofJ4Q(>v)aN+;diZz|KAv&(NkZWoN8vZa zCyMZijKXh)-Sx2BP(VWzb`yo&L}7PEVRuGhcSd1%Lcu7a5ZOSXl}!|O6NNYiC{!m3 zh22D9cS3;+0|oB@3Qhrqs2)&g9t9M96i~oU5(-Nkh2IXJEW#%<3cnNf)WaS_0S#jY z4P#~x!PrAE_GB>jWH9z*F!m%cj3OA34KP~SjF~+IBaQ(W)rkUQ55d@zz~I6F!#fZ& zP5}(6hajs?K#(<004_cOMQ5A+;Oun1rdn}_#I`5rxIKPesn^~(h_p|BMA{1$k#>WP ze7MJ6!66Geb(~bZ58q?&5pi7ZvFEo_q?AE%4DPYKi6?xA5briS07tvInS^G{n=oMV zfu-&PzZ*VPgimE3_`R^V9`>3KprH?-p%3h(5A3B6?9D!~H~YZe>;rp~4;V!sh-~;k zE1N#Bmp%~3Kgs?DcDkJW~n_W&%}#x!+;_{qX4`d^*GY2VtZhMhs>&OgJONcZB$kWPC?5 zz9Sjmk%X^N#5b~mZ!4P#XN361F;KTU5kIcQ4(%LBI)w)&r*H@v#nOn%0o@UrVN}>ULZTXr%7{p()_eE zZp>(2d6G;k9})Fb*1rN93RK!!6ZGwcCWtz2EV=kj0lR2xuvJ-1c3}Ewcll!(fIL-!pPtNPltM@)2VLtq z=nunZitw47gZ?Ot*2AdfAT&(%X!rz+hBxVGc$1EXH|c12la7Wr>7&e6qs&&LIa`f- zwz{S#j9O|yVsQ$QJjxt2>S+w2IjR`%F7cI8?8VNkmnrR>l9AHmB$(GGF%vk2C(BOD z{dk^vzUA_ zE#=HPr5xRaKddmS;H8_6de)S3&20XS=qNL593$m8#*o+NSfl(LYcxHu`36yVG;Qkz zQ|Zy?tjkd#Zyp8Jci#|taDq@aF%p6J6v#{fUqtYo! z;C)`#y|x0A4*qb^#PV;&I{}6(l4t-~Uq-_5X*T3L^TZ~p)HrIVp7iPE7XYJHI7$oV zHojAE0k~Z2U}g7R?KN8&)ezlFLY4R>lvG!ZtXXa$a4t4*^s2)b7C72XmQVpl-ll>H z&+|wlsV=?Flhq%G&lcgcIa&Ql*jEqxELovpvO>dTg@)H{`W>?QBXD7yfv!0+kW-Qn9W&wr$2765yPGzKNZ#kkrH_N;0Z8Fa z!H@SbW$cT$btL7I`^+U9?2t?DGndr*=8|N|d-gG@xI`pzE(mvv9g(8DwV9wT%iXAi zwk#*pmPKhvIV{{QZdn$iyLFd8k$Yvaba*<{bftO$7p)_I+u&Jru@yg&E)I(UkX`$-h?U#Fe@OlMZevMVc=xz|_3OLSim z<@Kz!AM3op2RgHUHm_1y`@Y$<$GCd3?U&aWGX`OahRY#w_U}pUe(B{M6+bvK6w9k3s^E z<0%TMaST~WqVP;JW=Rn_ZVXuxS$fQ#RL0VA?UWYll>hvI0rtyxM+AKdzW6Pur2a#fUdWBdp@PA61& z@FE)ijBcFn^26+%SHl+!WA*@wWbTx9Fbms;t)OjaUl~eV1t8I;x?-8<@jnlrFT&?@ z9{-E5zaI8m9!JBAnEg!B`AhC+zpsNj9?VeoxeQk;6pDJC^VC9Zc%{AKt;5x$Tu@mJwMJsdDgprIuW&=Ln|i39n#JmAMADwG3uI>Aef;w#Pr zG|vGy4+@$Cv7jNTlHq{)7R+H3KS4R5u($azB1gjO4_pC1Jz!CLz@k>O6el>358y8y z;O+-3&=FIZSA(abpRwlsnnLfig;b>+kBb*4INWX%aDz{6H8Yl8Q8BW-R3WK*G~YhL zj1u7b2iz4S#rL*=F9pF34*g$;zbV4sWa$4kjMu}sL63&T3>p?QXjsgk;m^v9(;nlr z$G9tld_V5?Kv6Po_JBtkMLfr8k8$52f?`}cc9*}BdsmXw-|>#~?|8?}J_zb@w~FzZ zEc#<7A9Rh)T*m20GfmUEG)y_}@d#HKhgm2@nkrpLh?$1NVeRppmBwZ9?h;GM6WGQO5^-vz z>~CW_>-MnZ%oJS$Fs-n^@Y^dUwPnEC0;G_;E$Nc1Fv}=9MmNs zU~LT^cx@zT>H;2k7c7BD$i(*EWoheF)PBCWY|eKs=AHNpCoaPI@=k87yZp7>do#&} zW(EB*ibRRh{%6g_i|008^y|47Y1Mp-zZceK=*Qdk{zmT20)$jLN2AB$m2MO(?e+WS zZdJTMa>}ijcFwHUUH+!#^7jf{M>nUukg)OeB4WZ(ZXk1#;+<1Vs8IS66Zt5csw%i> zj;fG4UmSkI#NZF_I*QSVY)jkGZ?V@hY$gBfrsKCyO}`CRC2QX3S@S=IzbnGu<*fOi z!@+tuXju~tvnCp5O*FicILLH)km>SZKE4imx?I{54qCdz3F6cg=Rl`}S>1!4Eqs=Q|pBIM|PbjAur6D)OD^^ zkj`2sFd{myfCt4{6B;&weuhjK(M2Y7OyQhHG29cn!U?CtK`RLk+L43Ec1k$0uHzB< zJZ7D9-w46hZLpN?yl(_!rwOh5rkJYf@!VORs=J``1EEOp%lLik~Y z+7s$GA5*QODWVc~#gl3Ar!tDQFJ8dZhzAaJ_%p>&^FrEK8H8jXjh#bDF0Vb-@`5oR z7!KX#@7NBW#)wLkFZU?_FX8Wt@b@{&{~;Wj8xAp&4>6JtA;|FTe27XNGNlkn?4>23 z)S(>T&d0fD6kkssVtgOU@qNhSdyjf+N3~2U*k0*M{nzl1Mfk_8)PDn>M)f$ z?91Z;^>D7n4!e3i!6*j6VJ?5zmxs28y*dVjhtrdK_Ph__9vR(Rc{T! z3RyLr5bZ?TOqj%G(RhbZ&H#?XRy^SZF^U(~zNmEcMG>TjS%Dw63jDA?H?w1biP3o^ zF*?wZ1i6BiH=esfQ!X_jAJ$ zVt<6#AIaDs$=Dw;?6Fs)i2V^_e+1ZLUq^Tedc+WiPDkVgbb9jLlaAQ4fR6&!M_>p^ zj8~pVWDcgNBQzBGl8qAbNP;*Hl5E;4EfLq{Z`LFMTpWO4ZwZiBIUxTt{BsfhIRo;) z!bCkx*a3)!2Ot_AfN1#GfQEOVXm|&ThA$A&@CD)o5u6}`6A{6lFk#o-Vgsy+490{z zDiZsI!G`0;C}KOo{ZF`~;#8b)WGXV)sVDW5mEnMEf))3K!6lhQm!>$kIP&ey`VtLg zojhUA=fY02L(Vs0o-65eL;CxL>Mjl8EWYdGT9@AvP(66M?XbW|4N`(`Qm7mU9c#Y|VQ>WnjCpJs4IQ&>G&vb;PY@D-90S%;h?%ug-|NEi%2yE>ckc9SQ%=3q|g!SBmv<{gPQzZL5o-Ir`_qdi>o@wMb2kG z+>y)<2o@O~ZRbgndmz~#1@Jnc857hS9qsk}9K}6XP^sj}VAr^q1shJ|sGX$<16${l z2dN||(2~=4-J5rkwKGS`iAoyi&%a%g=2H@1oJ9>#5JwFZ+2^x!HId5UDho!(iWdq^ z85flVA(kOX_S#nUus3113EHYXKT?#^pgdDI+MXe0DxK{Q#Pxe?_{9<5l;{tu zSmaEyfSmN~0?j5<)kqnDc#=;gJ`>iaIQWQn9J9Fy7MsUkt8ocbYqkUc4+*@sx~BC5S099C2XiMd%2&RbVSvf_6N&4BPNwC~`-3Iy6M%A!dt2H}9z zNlHp5DX+6=(w^Ze)jUm_t*jxnqM4?K9y%6bB@|1CGhLK*HwnnyX0yKY3`_dpk{5j8sL! zNg$FQR$U`K%17;y_MMLlD5Lmr+@w8DiH3Vp9|xHeM#?q|muPq*LVj=fv-AihRao%J zhM%R9B(Fhf)$o*bJ*UcFYKfXl890RE7>VbY?(z@S%Ri*ES*(xR4EqdwXYE`H=;j5n z>N`KL-RNyNU>tb_Z4*#9AKYAz2eH%=`09O~Oe#)J>>MYwm!Q)dlp*f4yr?8A_#suJea6fJs|5=;3{N?Cqe z&hfE8haRjlxG;Xc&E;r`*1;7C6-OTB+*vx}56+vHFjKR5uztQzh&doT2a1QLsJOk| zpl2(CS`h3E4R3z9)y~kc)|jmuYKJC`Dm(vU+c_Oyj%Rqu@eEu{tz_I=JmVe>4;A5| zoN*rxaHuKExM-Mh(Jr+-A;$)h#bA8Iv7xJP}gshDq9J&z1jO>ze*ij@hvWt`i77ZOFz^y5P z+ip@NOTd9^!uhJOvkuy`T@j}VHJd=I;8Be0kX&MGX-O3fzF94)tgR)bG#O$goBkR;d7?L?L}% zi4>q%KTU0Mq);yzADP8hL1v*q=|(*meNn(YF&qoWi*P(g>xpo3ZaB#pJjob5 z8Rd|?s0O7@dbnvox=dZ+;!cIrML357U;K&@eTjVQNCd z)P#nq2@S7$(eSDl4Sz}&%?aeIX=*b~ZKhotc-6FrB`S?+(+D}kC?56G47zC#I+$oW zJ@QZne0!RS&1WK;P#k>QJCKh!7vX2~`1c_$fojc`(2xWcOI4AnESjyXMC-xjyvlmo zh%!)A`WlECqgYfnZ5T(4soPzCR$F166v105T@8aG4&+0f3)2oQ=Up2+Gn;WwRq$j# z&42040h+34AaoH%)9Ddw8h4k7Vede~atg^b+6dJfM#+niD5i5_oJLuJqHEfc9rEC` zQh>INNuiYP1KoLrl5Q^)e(pOhSm0xSY|_Hd zDuC8xR70^oZ3UPDgCAM&n1ibP>1SsNLem{JNz4UH$d}35C%=|R#0lxvJ4qQ{k)%$J zjv>&pjX$?-Fqc~q;E{l`pZ=_DrXoOk#3CS?w_?f9YvCGxUMj6m(y&!7S1Bb;Md7S$ zQtEaNPbaUT!xx!2AJSp;T0e}Q4$lWFbS{X>RxxN0CH*#uZ3jLsBn{&LWd) z8!8pGx+vJn^}jdjQJkJ7Kr>}g93T`WZL$DTyu9@-A@7~#5l%G(EY1_hOS@577CRy< z?xw{Ov$ijd73j8oz7=hT7>_jPgcxAj2%KPqr?R`KOd*it<3NO)Tzy6*rFv?p-QaJ@ za|hjXzZ{+|!n4_PzY=EZVa7Zc4LuhPJr@njC^Rgi(6Ed`!!imD%P2JbMSe6V;o~#( z@frH~j2nJsPneNX#D6x$DX_$hI|PDxMxNYV{zdM^X*T0g4Ck4N$2Z5y#-}31)Rx#J z?eKg#`MvOg0yUJ);SoN=e1ze1%l)ytK;Cts051>t%hX*Nz{zr;8P@ePe!Irri|0I@ zI`9l(EXGfp39uC>z++tk{Dpgv1Z__eRM#TC&?FFxK!FCI&74C?M{P?mxpT z9>)iTn&Ts7Rozti$V<+jXIi$*$0--_HNI9RX_i31&mg61Z%XNimKnP@l+CF*KYFJ|A3q%J3gYpI!+ zUPek@x|q~W?Bg%KcfE?#B2ojS^t$L~QYwmWA;p@j>(!)mhOM9(P_q#b!gNn}n7M4h zqwKK9Y!n6&H$2F8#Ek;!++E8m0%ey4aaC5>zN){Bq_oFr`#eT&)XUnt+AH^*txsH( z?;d=4MO3H#wD<|i#o2y>Ga~L6wTF9TXZgws<|Rux^Ad9_6|HhBA>TzwLVg3`LG{X! zQU}$p8LB|VGJ{usNV_xmE&m3aMJ7$Z<}YcovZTq%8{*`ydFPcYftQ*%CuUP)7#G??i_3+?lzR7D2_fn;LWB13-%;ql>B=>F!RFqDY zKuux9muwbL<`WF40>yn2lk)3A0sq``Uu*874)f<|@!sTyqEN84N#3ei3?pq7j{5q~pIrW9yt7D0^BR{uqGxV>pBFe@EJ++3C z$i3mK;cG?sTF=}E_5X*;u5wOM&Z%`jIHwEe&SLRw>`>WLUR0D9MP8S9M}1yVUX1@l z-W>5Ba^Adheo@Ykyqb6qJFmA~P?QTIZ?1S#&by>sSd%kc^8TIsPn$Lys9X#iaguHW6ry} z>?_K?$g@2>?!0TtB}KU;@@$^{yS+o@(z3rO`y%zolGRlq(}|o_O}p2n?04FW*p< zZ-~74;xSdd%=dqLc}G#+5&6A|Z$C#oRNh(MRg`x{{({7}pBWx1-&n3H%2knXxKqCU zeDF|tcX>}y-V^zTJ^A)SvP0#!maB_$b>tiVwp{VYlT*_ag1SS zAV3n*6Vf|@^xk{#A@$|u<>e*9xY2uu%fqqZ@8>gS1KPjEg6biX*xt`2Gw#!f8cX#HRY&z39kjwY_W2(~We8<*o=YXHA zPN)0&bK6nQAB*>Eve~{|?`FRmMKXPT+1{=(HB-~+TyJl-9|iM$+5Ul@>E6t??3f9F zI?$i#EuaxV9W!x4I^Cb`>&bKiz?ezNbb8y)G2^BHPJe%9XFAi>)t@aC662AwxhLO| z=}G6iy9?QYL=_rP=%la7^>(E@^Mkzu+5Utl?~%V7lN>v4d{uSLgo%?TPdV)HBaS?3 z>e0s>JMFmRPndq{Dy!%$+xX!D**2T(tO%C1;*>_BrP+UABD1%Ja^z zTeW&k{n~XGG+cO5v!L9W3gBiMOnP5cysa9#aoKE7H=!Qruf?8>x#D*UtfGf@r}hd z72jNZOYyD6w-w)ByrcMz;ya7)D!#k;p5l9p?<>B)_<`aFiyta}xcHIcoyCt9?<(G1 z{8;hh#ZMGJS^QM-)5XseKU@4<@$&u{8{nm#a|SES^QP;*Ts8^zbXE<_`Bloi+?EovG^aw zKNbJ8_~+t(75`HF@8VyJ|5N;1@$bc<;=RQ^#rukTi~EZEiwBAai}x2FC_Y$xsQ7U4 zk>aDp$BK^^pC~?Ae5&|#@tNYY#pjC87hfp8SbVAYa`7L!7xKLaq8pV3iB$`EwxLCA`HqkCNh>hYBu}NGiE)$oFE5w!JDv=gf zi;Um{dC@1X75$aj)1T?h|{(KCxdM5C_Hm z;sNoXct|`f9ubd<$He2}3Gt+ON<1x|5zmU}#Pi|>@uGN1ye$49{wY1_%Y+;wlX9#a zC&$YwSuJbi1UXSol9S~Wd6+z09wCpEN6D%3XnBl0R!)=0$>Ze-a=JWGo+MLphMXx+ zmb2t3a<)8G*2+0@uAC?5%LVc@dAeLE7sVFTCohl<@6u`~L51`FQ3o;PmBwp=e>_julf8H2r<{+;UgeUvqj+mH{K4^j&-5?%Ri-a~{$VIbe1^&a-TNi+KTv$@{R{_Hk@@E-BJ z$us)$g@GXHQC+AjYYIJP3I$1zQvG7VX z(mnb7HG_TLGt>k1-m!Ba>pjcBwTWY!!G!=iRjIb-BVo!F$22 zx;@h~nDt(yHn-)sXT6u01X+H6nMlyNCD+sC{ezLE*Zyp;_s{b^?zh@9jwq*x0ojow`oHWz(k~4@O1mI-)LA;|MvpjFi3^0z~PoekhwfsJt z-g~qBek#3hR+Ve{U8T>V_a2o#m)}+TJi;*F9W+0mGII+31=Kg7qJN&|c@t-3dRQa| za-ahtayk(&+ned=$-?V^$3!O#is9J;DIn2#8il8g0dagU$Q&8PIkGi)5f}nl^ z;FuX*d4^U;&30=ht|DZWp9HDx;{vM**&t2fw}t?A^k*~IaG81*G|(2BK{c_KJFp`+ z(7A<6tRw1oW;8w*c-~Aa9g#@QRJ22RJHq!TtEEKh1~%b%dO9;uXGF&|xSfXAt%u#!pgKHgD0j-tfa+=JlWWj4Cv-rf zwwbb>;9r{6zsl?a?&-o{hm({A7U}N6UYM1f((d+S8aLFF%{y{^-KKO)b6Z1u!^Zk_(}wk{>RZYF9l5@t zDcw}RuFg$|9h}%+*SfC0J-x1RL*pj0gyUQDgBVU(vV!B9c_xKUC99J);l56!4pbZR zv4yTiR|^A~URVuuV;tL=0(GEzd!|1}iV-B3l&tn9x`-b{~rIo+Z6>1`l1=4%Bc($LHIpO!mo;4s?& zq$QiiG>_n9dONdfI)+9TE~`0w^bH*0w_KhwE!F`8nsP3ysgCW>K>r}+RYK*;Wi>~R z9-E{5vjS|^WNBVp2_H>gv^jP3Z658SAGSGTu; z(2LGpR&&hg+d0->UPm)-P+JYM_IzKivn8MJNiA5gGF4l??RkslEUTF|`j(IL&kLwp zzpZazXCt&g+aM2+MtDl#X$exmX`zxXkoWk}H-3UIt_)hQ>*|WMor0N6$Hnqy`sjIcqA%74ylG`$IG#O( zpTnIM^lCHng>;o7bk>FP&pZO{SunV6oAtX5TiRMJP0fTAeo!H3CTKw(LM7Pq(<8 z`Ba4D+Db+$w;V}JQ#3@u1*c}q;6TGbcAKu3o406w{0Jg&N-$E?(PcHzJ~Kv7+?l?3 zV?f+Gn)duJQP^;%HJedfnXg#VSl_g+{etvb>L~FO^h&L)V0f4hB)4TvQw0W;8C0oq z$ThUruTQV4Ypb7^BmCm36TV7*;&RJlX@|ctunj5nVGLyZ zQ{BC(t`1FHlZNqt8SoZN8|F)w%NY?AV!F#H0oJ8OH<3;HQSTjj+r5i zC4(XP7i!SP54LihWE0GzQL~8NX*j-;@u>Q|u0=0^1~UiJT`>6b!JqjUkyu;G^k(ye zg|dnyUUW+oO5B{G*65XgF(H%$SEQdK-lvXUyleg0cHURFv96)9ZdF5LL;I!aHLKRw zx7V$yYp+u_YmR^BE1ABN3>IkaKG#3lHqct%SiiBZX?1-V`l4ku^F|4MzCXi4$5P6= z*1Gj!*qBf)7$xk}{8<)udqe%2bld9srU>e1EUP(vl;9VJ2y^jC~{B%iAp%pgwXSV&{_O(MHNJOo>5MXIH-?$Y|!^y=oOjrFZZUD- zN_5q9u|(CsZ6`4CK6jL)TI!3J!=wu4Kt!m4e0s;0{5C5p7`3+9S42DGL@~XGbBOff zdA5t^Y`lO1QK}uT8r;0We%f&~&ff@!adm}pTsn{)wj20nBu9txD;p}&+IAisOd5!K z?brgW8|=z;Bi1OJ?Is|9gCQJPf-87Q4;8Ue?yo)X66I(PyDOcdtfg zq)zT3%JDD+Vd*u#vfcZdpJHc*<)nU;G+FDX%+8vcldsS9=IqAWLed%QMhW@?|5afL ztfS=_A~W{mDN+|CHUq-63cXD`XF-9H44g3HYTPu866p(lxhcTfS)d@i5ni$dT}XFj zY3CniZInVnMuWp?(p`E#Q+cEuwv(E4b=xA5uOo%cg2e zh(zmGJUmcf4O5P1l&iO7dN*fzDZ~Zn4oTgp$!;C&tzG!Rv%+Ob;MAx8!&>EamRsKKgw=4`8$JNIwP;4dqc04 zTP2yqDkBx8^dPYbgbrw$q7G1$an)z@C~x)VHo}Ze5>lZmDmg)fiq%)C;}f zz=~8uFJgx>J#D#xK|WUiBDNv^rd^e6XliKF+#|%}k&T$Zi${rXYuSan#_+;j+be6J z5ew72aM$iM(AH94cM&G0YXaCQ`Umk`R2GE20)fbd>06oAG-ld~#;4-&?_Dd1P6(tan2&;IPkFtR)oCem{H_>_kFA}RMZQ(IibxxgB9a?HpwjU2YS+G_$I%F{TNKYIc-Obo-~;UX+{Js?oN2lr3!Wi@yq# zGL3RHIDp{oR98=G0D+rW8|ux57KMBCeibhY_e2(j8ylMH+k!=5f&kTLU;x+1vJLAM zsLQS%6f-;TtMh%BWuq#BD0J(jQKMs(Ri>JEsdiw3bEBlgR=@cBFddpG%n>Kih!6$~ zYlBBd12bnZ)raXm`(CCjIvgDsF!`ynvW$ta-oth*^);iUMvq_oLxdXn-tF0b9Dw`( z89B<0yI68;8znh<{o*}ga@a@&mmHXG|9b_57e^cc2``RV?XQt=7Du{!*$od?LGq)d zM4x|RG^STCf+1p?B9g8hCE$Ml)n5xp+=gA{&H-p5$Xo$oD>$B#DsUPcSQW|AYXmY_ z6gFEN*zo9}^|3-Hj_srn*;3H2*Qs3H8hRlRDqOA%IvOl@Nd;sD#7bj2+o*!{Vrz3H zLXIo)-ltxm2=*Yb%Lxfvky-#Jx0-)w@d_oekf9W9i<%ffL{#OLuK;Kj1ju_sjueK` z(Edtfkpjy;H`8KB=%a;H~04hjxkzdF+)q{fu;) zP8cXEYWoVMT!LJi%6nya(O`Eh3vufIDUOV))Bg+17`>!0N9;%rWP-<}=&SwN(FC#W$?ium#Q|dO7G(2%L%&>5u?bjnVMaRN%PK}oJZQpcXYcY?+h}UNm z@FN^eWhc&h(pXci7vp1A{Hd%8W$Z!7v0Bg5e5UO!_U&jQLXDd3f}mk8W?C;d`7J-&v(zSS&L{B<0Uem7>y^q%7*;we zFFXO^xLjHU0b-><)H<_k2EcNbZ)0<)%Qm*)`s5=S@~>6ng|SvS=- z(G}Pcb-4_<`HGFP9S@C)k@sG60v@h|q}xDQ8UzD#gD$thM**JM)95ka zwf2Gzs-q*K6tU6;JyC|V?(m4LRXd%B#G0X67K!pZlp*b7^_AV8QHsuzUr8xiv?;ZV zE&A-~hVpP&N_UO&)NpXra7Y?r7t2wr!1oHc6ZilE)aouY*vwPgrPfB29@fP4-za~^ zQqTRDI!1wcMNYI*Q7~9LM`^HL<~gTf0=avX4cuT4 zZg=UKYT6<{fEzR*`S4M093}K((O(_d^3{qejj-i46*4b19tQ z$Hi^Ht{i(pO0}erOTH2n8Us@coNbjzmfFhESBic6;$1qX+y>M?^H>wz^9l z_*j)HzH9XG-|etc!(ZEgV+4&j)1^9q-S*;pM&H1D{W(#}mx`_QD7D0)2YNB1T2+S@jI3m6^^e(MS0^kT8GKa~DJAx0 zMamuKxPv8TaOV3lL5LevLGhTOFb9=l_UwxvA3aS!;TL}wrD;3jC?M75j!L*&Gdmof9}>F{p{J$%Rh|9p=sWv4U*w_;U5nklkjCH}-t@?>g&uJZ zvHt7S2HheD-BO;dtXNd36{)%y?+Mz$an|rF@Kf-^b%&rSQh_dEgB1L9t!t2K6b=;* zS2sCMy>hDP^P^|f7eX;JN-CYG8Kd0Yn3;bQqj;s-vZ;)Zucf6@%uMl%qo>A~{G0wB zi0#^Z4@8#4c73Lwu4OrNTUYLIfcDn;-h)nOv%oICjZ}-7B{ECMh#FI?WPv;{h0yT` z2%m$X*!9IIn+lFXWH#e&M+DKQXusJmlGafwua^Z@vf2)ikweGjoqegyz|s^NSff{) z+WT=2DlgumfpD|%K>Bp61?#XO+^;?`W*DNk46SQVBDz~V*8Wio{iI9(NeLXl)0z7nZqazHi zf(+JW^+FRJ8VJ0)Qry9J%Mg7%>{26>6g#q^7ttdzu`;| z*3_?VXrudYEao&l_~s!%|CWRKs>ZseixlWJ?V2jzJ_Oj`aWJ=YNFobEC%Sz15TJk0 zKgH6#rLMINcZ95NUcbJsX^qnbBG2~^p^+arv%a;B&6hZ!X^G>9hXDFVzWixmtZ09d zd`YNd+TciSqSHtNn^FTfW2&#y=05Xl z3qYf-DL;_P5L}MYG6Re>Gbv#-=7P|>N#qb&u-44Q1MWE;7B&_(jtQkWVyyBNCuT~i z(ScsGO>1-alS&3bby$T11h8uR zI&sY;bQqU<0gz-K}knBu|2HPCtcx23#3ve=cLrFWy_$Wq@V;aY?;W)_V zw0j6}Kf+n)mbM7o95#$i#xP)mJ4yi9xu;QMn6c53(I$G`te8e(!ka=jwb^iifJ`jgjK&Nqa5+e-`_J;CogL9Vh@na*^ z!)Oc=LtRuUXdc6)!Ho(J20FAm2R={^#!ne_ivtThu%g+gD8qnzsMRnmNP6J9Ir`|Vkr;ih0geH2&_RZBRM~%TT_rD3Tiine($C`H;O+$1al=L9;&%$^xdMOVk(+nEQYm7Y2$bEED9&;ZS)0M#MuZlS2uQaEZ6CLU76f48tq zIvt1SwJfNeC>F!dpVY+3Q2&>QK>c6&^Q~`9_t3Mh&@(~4LJ)J3&U$@P8aa!+-LZ#WW0h_m7Cy|9J>a|Jgr3rs*}=PDD57dq+m||2l;B z{}Oys0hS8ODK=VhWNrWVA+-Hhe??5+mHsJ=gy;Wr2#x>EuZwA%4p-;^u#q6}_d`Hn z$X{Cq0XG6{Bv{;g2w3d#g>x^Y4*pUsXrwzKbE!t{nA!jydlm66nrk^OD~pb1A0~dd z!G|X{RLm~emX>*CXBLfn9EB5eY|+lWwf!^a7lDr6Y%2#0J*Ej___D4@i?t3t_|p{R zG2C|uoY?E%baUV_1dGq9t#&pNo*$7BF-RzXa3sqIKz101wsc?wI-UANI~)t=P_F|v z&4t$#LM&Vb{@j(Sr-rffP@xa|H8aVJo7spzj1}HbkAi{~rDnM-6Wfl+GOn^S-A5ye zo`B%`XWmfo&;I}(qiS)c0ILAcg%s*mf2}1!;!JKRdY&<-ELKx(4qfz z>XquorQn8ooqoAN4Er&-%_x!NTiy*|i_VFbm^@@=T7;}Jmo!|;RX){-i&4X;qIM5zV?CDfEPq@jk&6duN+nphik z3Bd3GM{HW1)o6Dd+q3i|X^L+Nz$kWl&xg5rxX`C3?Ok~>d&E562J#j6SjkKuID`>? z(7(nR;Q2m?rGqPJs`noPst@?$)BsoBDhIY$T)?7dSoau*VMLhoPWm^np(ZYe9FV$Ur2H?uS{NUy-!%$ll!cu`zJf%*9(QA=T%+U>?uGs@IL<*5xwcvcBjSbwx zhtStY{9D!qGKH%cjc-5(t_Nly9dT0iGLT+b??sql+HoyX1ycW7B&jRP69s2j6dn7h zl)hbBuSOA5N{1n!YVZw7y#%8V46E=BRYliwG*ocghanDmI8ROtrI0)22HT^Ps=3>L`q0{8`V0|irpIvYUcA?EZI zpvX=-CE)4AjU3acvZ^%h0$Ndedxn^aiIMFJ_S*!hvOYT1$s(%`*T@kis=aIg%ASe5y-tGmO(YCF-z$om?2avnoVb{fy~k7Ox19c;r#*h*7Ap>r=&>Hzz8vp2*=O^!6HM~M4KNK44z)BpwC!_ zk+DKc7d#|ToRH&l9rA!UQ&LkZjOea-M=m3@v z_#4`4Wa}xN0wbq3$F9E`e*g>1tbQHpnMI05?*On_coV(y+88}!* z{TiOAmLoBFOH-t}%3gk;>`L?6we|e1th(TPm3k*sd6*{jgsv5EkM34iJL`+#^u_EVK@33GYEo!B#60ztD8%8Kwa-G-IH4Sd- z$o4BS;!#LqRM@j z$@bn(CEf5!&Z$fuzIT5lKFs7eQ5BTA>og5w@2V@?}Ci* z@GkY8T}#Q+x^NMirBIQOXJt$(OORu z2uvg&HPjS8ysUr!%`tUlwn z1eSeO7I!gb>Dq&Q@3NG+L(E8u`WA)C)uY}yABz(J^p92zpFIS8o^$b`DG$~x3_`l& z74V51GX_qC&+~_X&kHU-b#yw#U^5c>1P7Bfc^ID;4*{Q-{F@&R7*@&>+B?~tO*JW1 zvm;X=uPjGjF6!Kp#}|kTgMEGd_(XIXpQz6E?|=fwFk!Eyf_D!|bBr8o3?%@(+|m`) z{}ygGY{dg@ z=3f40;4|9c>MqtS6xs`|#t}7=1Q(fVR!A;Bn90ZV$}Xa6w}|u;{>OhTLb2NpC_c`9^VmL1M`N-bEb={A~E^ss%bnQK7Q! zf+mgO9xtnx@0uf*n={+9slnk+tr=kl#VURRlN~<8&WNX_2nlhhv_C-u9}EsgCW%jJ zM@sn7nk@`s3$fx?lnh?12jXYu0b9_lDQ{<~ga;!S!q8oOH-Y*}5w)}@Lz8+}H zFd<1X8jlJ#7Bg1R=#`)QWcSp-31$MLTg_lwZ|KGJt>Br_9NSs!G~SovHlYL`DWUT| zVZRCPjl0f?ixv622+Glffv4|u)t>R}R8zrkgCc+F%KWG(4-Qro39Z&f;5O22b3Ao0 zP_&c~=l?l+&I`}KH6L)^nU`Vf|2i1NtvEQ7+JP&xa+?tYkbyqz%5BaKs9UkIfWg0( z)sRcW_fs!jN@In78uhXgYjpIR{+-;=joC#C-zZvF-=4mV7CD1V5_eo^%3m@f!=N|A zi3NQbx?)aWQpxs3XVOU#EDm-Ic6Vp{7gM=H7DskeYbmv=8&e{+ra!X-e85Hst#Jhv zwvwbUkOeDwiih6gW^fIDp3TFpJBVG!&8jv9r{7Gg=q1owma++pBxq=hTfz(v`e9r;Xu7YxBRd;w~EwpL?^wQNS-DeLqI5p;k7ykq;cU?t85hC8)j zr9;b;>4KE*NUsolvn%K);m3kP^J66~cw4wEBs1`4s2$d_J2Dj2hfbfm;8a=xI(3$M zEL4w0>akco&QOmfr&8@|Dt)F(IZHjxR*!SkJcvol@wWcs>*eSomu)fi&!))wS}s#Uc5BL*NQsjX)rB1drm5Xes%Stg=&YP zx*8%&o29AROPX8Pv^88-Pj%E%E}=$Ui2yt+23}mbGc9HcOfN`u#^SSMt8;x&6`#T4 z61$i#1_8w;bcJTUicTw2aoy4goAL`n70!vnn>;iAXP$NltK^veFT&Pl2|?osut>*F zG9&^-6j;1y$=OTJIpeG)aXn_3`I&?mUrI*&Mjy4 zFb5U{>J{=kw-+OUnd%INC`e~?5;oid0qC7eyylA39w=;wTxCJ zaHm;Kp`GK}mYytGJwAkz>D7zb*oMNT_F4K+116D+=#FuC+GvNJ@7^vrOqe_Bg@M!N zTt&V&HN$IW!aoFiok$FM-jT)$pgHz-e3u0mgM0US-c*ymCYy0`_ITb&Ca0c)6B}VN z+SIpF8!^?S+V^?hbW^7Ej#(N1phD3b4fVV>$g9DyDjS)|-YJnvW+x?SL+%ts?gn?sb8_gDn1$!pJ3 z?&A@p+^?Hb{u7>eRH#|{L@s4K>3K(+486-o^|LmmErmKV3t)FFU#6JJTumA5LGKO;gJH=lKwJhotU3xaz)NQ!3?oGYwgtQf|bj zDLTX9yHm7{u0AzoW;%^(oG?>mRe_shO{tST?*!W@-!pPPdL342Q-V~Yd@Z5=YH`xn3Z-ybPlkJ41n3AWt)K&LItIiqKL;X74p>A-7!+==m zc~eYdG|4pSi#%_#P0#n4ZDG39Wvc0!tnE&T_QLUgnIE(#2s@YPmD^ z!;2!O#0sYwXYx$ho*0vyD@~vj+;3KDmQ=I5sakvuchG=;M=OY(k7HX;@Sxh7vLO+?()@ zFj5kD65gIfpfTdy2=Bf`VEjvc2ybs9a4}*X2yb5^FyaxnL~+M}P)ig7-)waNMXp ztJ=IrBPbdlzTd1z@v100`Dn@pQR3g_jDp~ z2%TynZ@_y7n8v#T-m^}#jvwGX=k(fj0=(xPLWMj4?}bQCK*ARtk&4)U?|}+lzV{CYZQX4`M%jCZVy<~s_MVHR5`i=B6mg9`mz&}6(J9B4o(s%$+R}J9W}b_j zoCq9WrC=Q!&n0G+lyD3@mpH{4Rtg4Lcg~vaq8KslT;NoPEvl}z>zrHbutwzuY-5Y= zfR9>q1~AtF5HaVRKhMdJ*mBOF?{Lzw)SR~<;hktkij(J9aV~b6OTVZI=Mtwo^b71a zXDv*46HOaryg6}E!kb_d^L?DQIN?n)X>7JR^$e#v*=$Z+;#7yh=Cm`Nemd5g^UiW; z6fxDDf3{QCvD2J)jx$hQBh8uTI=l~AXwF^gfOX6>=Ph#tyVN#wk>$?t3>#+7Ug1D@ ztTN}VBukyAdpPHOP^)fZT|;Bt zs)oh}#1ySrWj+bO`E{U3Ykgz=M!pjwlDP_!(9+txuC;D`wBBkEtGxjiwzaLUr|91> z)HMwC;tdTPXBNqwr$_N2NIH0#q&Y@g>f00D($Ai_JL~ z0-LtT_k1|xBJ?o!GdP^v2wX}(nZ#M^0WtbTYR+iF&@1~{YA(@CCCYufi%YaX#gzNz zE*H2s;Z2F$ILhg*CB(I#ZQ%Sibg1<9N6uFF0PR^jH6B5s99ngoNeL7CCjD% zoSG%hntS}!9gLjbO%6-!?TMVd89jFc^Ehz}eA7y2ZMjg+@xAO8Am?l)#I+~NIW4O> z{TgRzb2`R1XY_!vp$f?DAK*gJO&Uwlz7dW%evBtKd zzS)8cT$Pp;`!%T6G?uniHP~8FFJ6cVT>?u{8)VnCx z+vOb=O`-CGzIT`^AB3HGHNiWT+3tJCMa!gZEUI@@P&!DZdOK|2of0jB9OLR8QJO|| zuJgSk!uYph!_%8+aHn5XZl~`Z>EP5@-?Xm%g7jK%5`#5AspPAC?)wtgGCaN0hi-O)b^`yMrzIV9FvwSY}c@vx; zRO$xbo1#kDXhg5tzEX)BEl;f>*LSyJGHXn`PNDKe+pcq&nK!}tL8XM{YUH~OLs74JG4xb-r(BFs|tr zm3yP*gELH^!Lt479xR)AQ)82<=9?@ZjILOXNQi-~H#z*9%D&lZ50l5dg5B)7W@Dyj zFv~xwm2DUxdm2C-q+>`3P&-YGLeX=6f8UECxcWk*Fs{el9JGo5#ob#1cZ+Zz3 zH=7`Rz}o+kqI}fDJ32m{>VDAorYS766YYLn&pR?Ek!pP?7!U4u^)MdXpH%Y0*0wkY zal8r64=VK$%ir*^8*g&>HEF$%r*G zp5D>%=~VY_J1(r;+4z3AqsH#qG^+D4JG#x){$7=Nqx_Fsx)eee?|YNOzp3metR@JV z9@3~W7Re-2%}@H?bS(q%k+a@0A&`m~1e9uj%Hrtg5u7E`{*uy2_)TR$ZMC|4*4~@w z{-Sc9@x7G7sQlR~@3``L1mm+-PMiZy-UR0dmHM3Z$JEg!Z=8Bk-sdg0Za^Mpc20O6 zmHUF_q6yFQs>~bZf6-DX9I}Qjs89$SmHm>nuq8ok-r*%lRO8E5x9T7_Z@hk?+^^XF zsmM3@n;Zd0d0)je$!dmR$Aq%JhFM-u?4*I`GGE7PMXVdex!*vpg{wbesjM-9{w5|$ zHaDsO5}b+rY4-lu~yD_pMo$??Pl-f*gk4;T>>D{nb@Vp38}NQj9su*GDmYj>7o_lZ0)g`1x|TvUoUjDTUs zBDxmmiNlj(Nyvs ztU1+4VQMiOun17ITmO11kE)@=rzFM62{9{%8e%q)DSm6)6Q?qvW|s?>6SYJtq6fkU z%EuNUa4=@U2BWWX#KAB$E=NXCI7~*+wG0_SV9Z-oECf-??0*w|V<`y=mn%5v}B*gsbjysD)6zOLrw~S$%lN6^WL~Sfv#9YNO2X9Ovd3^(%p2r-UYxN0r%Vd*q z&$k>)dQ~0Bq;HgZ;80m{ChMe}%!pG@pbIn-%IrCLVnI^OONjX)8XIK9Y1EAn6Om;i zBs_6CcjUD45tm}&zo9E}J8Pa;loY2Y#KJPjipA8E(2x%Wba>(n?#tpz@u6Y~^~PE$ z8dES*Y^P5g9c~dCC+9fAWl4Q#!z7+` z`zq00_N{*SjekyOOLzB;yJGiiJ^oA>k!-sM-}t zu`D5$hqOXKNwJdnP{#0h;yh-<$`BiE+zN5we1{7OI38gJR3JALawWPDr^>}1fKwIs z1Uylf6z3(x`SINntEkH%ZEr2ACsuQhSA{H-$)*mku^o<>1ycx7Y2-=7P2=j5Vs%2S ziEUb}C9FbRav*wQ9pkk&G$4WkgxLiaGic~O3gQ4Wh$k2a!Jrt%U8%$q&^>9LVsh~xIQT^N{Gg? z{Si&n-5Af;6V2SurjP`1SR!?>#p&Sqf;zE6vSdS9ZNv*r3FACM$et%IPKxG)Xen)9 zv=Uyi9-}AP7_(Lj*73{7w;1(ZxJ>u{YF3n@?Tri|?X#b`D8MBR*#kL+XiZ zlOmrGeW5N{v_wC3$%?5cYQl3oqHqzj$6y~{g@p9nZ8=%4qa@O9n; zrVL*jN!G3W{1@geJpYAB8{Y~q00WTDeQFEaWn$VwJ4HD9sV%u3{wg{H=RQlP_c-pU z*Jn*>6`z4)o?2P*jGJS(R5S+8d1|X~!!785XQjzLPIpE_F>sJm_rjUcJJA<7%2^5# zzJa>OijH%ZE(jo-E_I~yoL1{bPG5LpFewTNF;Gr?#C8&{^3`{+Y;CtwgD`v&t?Nj% zti(XO4=Y}-ut3K};yv~`=X}wP0bj z=^^5Yok_7HA+9S!Dew<>NRoUI+yUKA;PD9Rfd;TvpKCzr4-R#cNfJi`a|P?T2f ztaLz+ObqSMLZ#HuN6B$p7PHxLv{(imy<#2XozH&`Z?79w=sJPrwIGix<&GwTTACBky zMo4*>$;yO)*{l%C2eoTlBsWHncU;vx%ZbS&rK`?htQOZZ1kC^ zK5(hz6cgAIIxqzC#~l^|(PIw^f!vi233=k}N%7W%cw2dTh&y8F<(@wE#5P0{h*V~h9(TIS6YoljcO=9+Bb{~-7VoA`+wFYCAU;s* ziT7}C-)*N;K_+$cy-qhx?=VZR(7REIg(u#Z6z@rh_r~BT-cQH}YAAZ-*ApM$F1$Zb zd66R2kq`b`Iug&14<*G165@kpQ5GMj9z`f_&M150Bix-2N2&*RlZZRx`a&y3bVT~l zWv^t=Vvxoj6vQZX&lF;CI>!TPF*kIA5Xvt!^r7?OhCV#a*r89}W`*;Cp7>}|d?X?6 zj3=wOi%4!gMJ42R)c~6IZYKI&R?|jGkO+M&p6tA{Q*lU)WWYyU<=|YWCqAAOcPGTh z${{a45gH#QXVyLON$%Sx?D&Wlq0W6Ou5%T81wo776+n0O{M&BU+VE^zfGn9@1U9&g zC4r!o2qmP=6+VcY#wN140kMmmVu#>4ate8~O2;`V4{@`55q!)Y)0vR?VhR%{zL>g46B;cvccQiHOs<#apBOElWr_NX9W9X(Bv79tf$}%;1ig!+QxXH4I38{Q@o<>u z>RWj|%ySccowQ&!As2i^i>9~Zc4Tm5ql<1}i_TR|$Q0Nf%`($iFuuGPLIz@{%LKKJ zHjrZS)G9Q@B6KboQc=McLPjDdh9MqynShuPiedVEQhYWcK4%AdgsS2TA+At$=bk8V z<%`UfFIcWbN)T7Rq=q>n@Ei`%)-5;y2Rp<8jN=$OC>miHm+J>cbBIKq_;ONwF(JNW z`xU`Ue1-aDMb)|Y0)6@__vtIPF(;S$^EIbG)CY8BEUrcE+(Nw>%U{PJVZ(wf#XuR6 zgRG6$Un}#VTgvtlf>&{46w+tS4RtCoDCFsz*)rvr2m$i+ZC|)buwnkgQGqvO0n%2YS|M*G>o!nDda~h$8A1bv5OX9GnrOINNj&lOr1)w=d@YWR;v2-^ z;X!;Ha0}-QtPwG7-KL%s!%JNbJAI$~8x$*l(oG%b2w$vd=~Yd5u=N zG#rAdEr?mx*GDwb_tH%Oa{j_QhH4W4*9!w4R&##Y)hf$oSG7AO!cEY4mGokR1) zkCWnu3GpLKrhpgXC&WSPKk+R?p7<&A?kAQK`sP6KGe?Jy*@o@}EUPKlZ_U%9PYj>H zy~>whfRQmAA+2AI#NeUTVoYLvSw$Hxma+r#WCR;hF_cu+XlO+04+= zBRCk(BH~?mgzE7O-j$B$QElp#AJ4<@?rGd2ZaJ-~8wLb|1F8CXQv5U_eijlQ@e7hC z8@Pk7)uP}pS)6_mxo}eaii*Yv3XUAaV*>3NkIAo-;+F~WE4Nv34?&O2Cp_^RM&%wW z3FeYZ@!Nk9GSKBN8Z;KlitoM{W#ft8CB<(N;M z|48F>ocb0wo#2QevP(-x@}uZ`;y;q&4+-(dP}Aa1gpKW|3eWmaM&?hJ%j!B%@n^!t z>Ufwz?fi}JQQu50b!Hte0j{8S4dDnL7X30P%{&r?PMUSZ^bkrQI#GirucTU`4dTZw z^nuMF0|Jjr9m{|&h*4P>|9Vx>J=aUOIftl7S2#PY%g_h2>EdQfR~kKV_F`2WJiT&8 z2?O2AH?x)u59XZlgR0E%#+F&gKf^AWUJC7jOJ?pAajp@?hM7gwy+jV%WF<}*_QuK% z3T%woyy%5<*dL2Hfu8uUr1;N-__HM%#7_K$#4{EfPy9EF>0d1I99(JW{8gDajwrDr z3NvRVI5b-+6-kWg7+ECO%;->SGr(;aH;afCEOSt%tbbwwIh)tCUtwj7jW}f4B?+|f z|0KnKC&XWEb*JOvZ$z-NWcS41nP`8r^VJArh;TzhI2_(r-)vQj32K(7LS;ZVpSR7& z;6pVu$5TgQ$mlDR*cHUV5MAtmV|p2n*tPiXb%Pk}l}0vA@^mYqZ)?#cPiI7&CJgzK z>%TDCEA9AV*bjebA47i{X=mJd#cN+@YK%*DghJsqq#ocWvC1{9p`(ebTNCm>(rnn z!dIz5HP}X@3ta9LeIYZz+f z0@9u6>ZAn>GHd2lGBIb#GNpW97?6sY6XfO5?&#Wp4T_Wm<1I)DjwrMw; zxOR{#Tb-ri&+9mXMVOnf@deR=)(Y3fE7T9--C?J16D#q z`Gn3xBS5F*f<#X|oD>fx#6$7zibn{jC7pX!swW<0j2^K2w7$&8U?ZprGpnbQ->~+OBicWFreZlDHuxS zRWQbst)trKEnf_)=#7^!2v)#UNk{L(C=9bA1_M#rsX*7mPFP`y=xJDqipwcvCPH*1 zcZSSF#0z;kDV|J-rz(s}@eD~)IXpb^EKAWdc2z2jC`r(B%7^0!30GI^rE_*bFfY^m zi-cP3vy6(_6N5%CQHcK+YCP=gLF6J-em*IlO^D~p5K+896t<(-^%OkuB9r$8Th+-W z+P*}z9fL0!@z~^>PUxl(7`z=B9+x|M*tw$*E~(IJd>x4fCvKy$-vy*03TF@@)nhr8 z+*dtUGxrA3_zSPG2O}3xBc`+;aNO$Xwd%YkSXrUtIQ5a_Wn-Z=GHCn)ik zlj6mMcqxuH;vYn{6RlK~+zpNIDeza8jxTcxlk+j}J~r+KXwJm!`{>depg9ZjIxY4F zXwD^}jXS^1nGo6tN;XKJ^GSe9FM8%IxZB52z37>+lwCpi47d>+xH0)t+m*U~~XHKGE zRD`ciaV~qd=_y#n@P*Gd3-PPCPLggyr<<7@Z2C-CAsxXG$vK%6Uj6EZwua`WXsubO z6}kZ0!aIey9=-(H=FNsJjlT%m7C9BvaW8|m8MT-yR=NA6sAi<}M+?-l$PDnv<2LyeJuzc+%&SwppBE?q(030$|PjHQzksb>7mGpIa?D^DzM3*}^u zcj;{=s6K_OPcCaL@%sC*N>7%e_B5`2Y`mS9$5FkKlMJYK zJXbp|)(Ma&P_6hA4X8SutDaEaO^_#2{g{&;sC*JvKCz4!AybTR)Q>>D8C)+F>te{6 zRIB^}Ra8HjtIw?Lh{#zAPPt>CfO87NnN`_ck+TU-*^`F=b1H+GUD2nJwFD&^u7}EV zxN>crza!^Tort7cj|X+}6Hj6WxrQq8!yMpRwKRhO3Y_vCV_TOsxlAXYGl<-@pz zawS0ueE|e@&*Qo)OZ-K7K9!EHe4#=eS2#b;mz1lhjzOrd{Go{;NllVJydxil?|`SqVz>v`odDbRW?%j z=;j$}tmhhyasI4q;xsHH61g&(f3hr9vHat&W%09rRcpq3^ zMu4Lmx+4L5IfK0{&ViO!5K!xnuu}@pV|1UyVPJ&hO% z^ejW~Dmx94-2^_IO^xe`$Vi&l%uRGxoXp5A)QnwgXBJa4?sR4(ZRNPFEyK@?(BRg^dQHMxHK>qgEm`ZXCFh%AMVlb6nK$z^WxgYV18o2ivP^9dOFYA$h$Uv2N( zlehYla8Jg9AmKJH^BUj%0*-vGKWVVn2}72z^T*6tS|e{q$5Qh3{#desDftFs`5XQ5 zY856W-$cLP>>qB{UWoa0`Y9#fLOE|$ybm*iIq){$zg)iEADddRU}Z|);rp}XJN)tU z`m+Or{k`&?e&X`E@?E}vrF^&V&y(-*{giyK?>EZ#`Tpth{q*w#z-*WNpzrUNAMz7d z*2oY0$6Rg}79bl4xJhN{bN9&lh`)rG7|F#q?EAYRj7w7k`FsjTT6d-pzucQ5Mi-c^ zce3>uQvNC?Hp{3?oUO63YE`Eftl zgFz}kLBvhTPx>V$p~&K^^QqAC7U57MKjoiDrB-FSQfn4;=DV_~oYMfZp)J2jg}&wcE9JNSIkhc4S?oP1Y0LEL4udqQfi2loKYc?ewFqoV$?y16 zQ<>hbR9}C#P)I2WJ8e!)jr=aD(4)IdV2b@jKze(ISILfxkA>oFtd&iR{X_ugVtr?t zgFCK8ql4t5Wip$Kd;Cw`AdQ_y#$KO zFM#?LgZkz0e3ic@cmdHZR&*Tz0NulYeqDj}@;3y*{XPV${g$i!CMptQ+>ofEW%~Ym z)wAGwW;?GclQ?h{80?G;B4?Gwih?H4Bw9S}2z4vN`B_lvnh4~Wx-9u$j* z9uj8_JuH?EJt9^PJt|fWJto!;JuWUBdO|b}Jt8@N_<4@l&(Cwk1N>Yn9^vOQ@dQ7Y zi)Z+`LcGAwmD1zqc{0h*^W`{x*2yYeog+6pI?_h=I1x$Px<*x`E!1LOa79d-{ZXfeJHVCy>CCDo;y5|Dk-M2 zuG2+#9suxzsCvIjf0ZX@X^6Y_VZiRkfYs2hKZt>QKL+aq7_6SC)vBO>kE*#_w^RtK zFEt2Ve*jevV$9!60H$14GH$J4E#fPCsJTYH`+oi#Yy%1whEG$i~LGlvaja?eY zfrARzpze-%0K%^u+Wrs(^0c4;b!eHgtO?5jPo=3XepJ!?jelPUQKIj-v z^u%Y)LRMc1P-rzz3_J+NX@R=_;hKY*&2M~EG4M@~spsJ1>iOm;)blM*s^?puQqS#A ztLNLEQO~zOtDbi}r=IV49=6C6*XbU;b05r-5tjo{1DcwykHU33`vFztnxXNz3i)A3--C1%V!e@_if%{0SJwC(*h_{Q9S%%%6e6eikii zWOqLgj9yTPzxPEL$CsesUsk_w`-fKYC)M0fHZ=u1PR|)N2R(7KRz>gg#BKWZ{hqj8 zzka|IZ_*ukpXTWMH4Q$XrRsyacOTNd`>^iaM|AJ*^u*gVi$AJqbJt#2k$tcT`(X_Z zz!DsU74XD6b@oSfi+2SwG#}aTjf3Ke50)lV={rk+q0RlUP6uGA4#FzkFBcCz0303! z4i5o`hZ%>Dl~$VzXrGESa+^l+ZjGYUM7iq#S~-XoG%;>@0Di)QXzd~R5f8&xcmypz ziWVP3i;ts4Et?;E5_VLx_v23kvuAizeNNN%Mh)&gnznaq+V0YlbNjVb8W9$3XE z0QN~ZOrH4G9zfTm`@~)#py~3-{jkrT_?{-;?)zX)HBxWVMFutL-mEcui^lA3Py9$1 z*}WguS!dsR5E|)zSa0??VXYs61%DVwXk_nr6isMEKjn#^>DG4dg9ET1RS%%bK^S)i zfvOJz^g|d&4`cj1f~q4_B6mlW$Su!+!Jhb)9yhzSmb_&TC;v7s`98Rkdx6b9bjA~Z z)HQbR0Ya4Ji9c&f7!C6=&HXzb1fCB;e?N@==x%@dQ3%&#fb=-3K7sx{3En(~{%Ix0 z_&p0IX?;<6Ui@X~1u*ADVDJ*0)tA9EPy98Ycn2s>BL&okFa7{b#)E7kj1Js=09YJ^ zd#h#h4vpO{S~frR5L_{>(cY`E{EVjVhctsetR?9qdKldKB-~$3*>`Bnw`=wM*=OO> zYwSPwJly3M;Nraq_wFUQ^q$zO#RzVZ!I~}V?gv1O2hlk#+&5^H?$#(tjnYkzVTPd5 zdAlbL4#6?M7tW_A9#XI823plmocPw=r(S77+lxz-|;ABB#*&+eH`fP zZhlf*qU}$i8@ijfJ_AZW%M^Z2%j|8M8F%Zh$?{$MiMHP_X(oI@GvRuT(HC{EzofDH zvhMX)5Lu#!?MhE>(lWTkla~drF#b$Qq+S)g8b1K39YJbW@Y)@`Zn3XkGUZ6;8h&n?d3*ji^Y+5= z^hOn4Ji%9>UYf3+FQ2H8`o~G?NwhsF6}+cr1n)Cu2JbUZRsgB|$+I+c`Z4Pi=f^3t zogcGLb$*;$>-?ylN34AS{%GDJ_CZGi=VN?7GH8^P~^q|4&Ki`CwM>S+~ED(rNR5sWr~~B?6T!P zP73O_mahojSFFSpusVI^d43Wn<@B%f&PNsV#GH3|GO z{aRCRU+dReaBJ70h=INC0_VpC4S;PBZMZN%^umjRo?X<4$Y_mB<9ge#^-W{!dsB1J z`Q{eLp6O)E#c05G<>FTS^1TTfSZf>o#D`h+@3waS9kkrOA&|Ze8DPU%uLOMF%!ln%>Pvr5{V(-`@ZKT>GfWE_5Vr#=;t2w zv*>vq{k)HV4$y9^_YscE5TxnDA4h zP3Z1X=!z;)Jw{XmWB%h5xR(obPMlOVX*^J)o_ERb%I{Ul?~Na$I-8c?mp>RUe=sFE zFXgYs%U^}E_Uo))%X`Mldvuo17#`4BzmdNkFMn%mp_{5(T_PdIREaUBn^}<* zW2?m2@#rRz;c78Xj2|P$A2Akx#*P~+#ve7#e+ci>#v|kSD$t^8h~CB%Rs7iedu_hQ zK)5+Yx~!-X6RO07pt*@+(iky`nwvz;O;XKGqUI*q<|e7;01ky1oFaEtOcqnB#FPNs zVdC&H;&1|YIDtD{fjfNKczUn$pB^U;KfYRbLcQVIVWe?)03Wp&zT#O{93hUZ5=RE` zj}lYIimAtp9YWXfxK};)_+!LWYIG_!Iu(r)E$irYJW+4zw5oAps%jY2naUmWJe5yH z=a|GQn_YFE%6=qLomxU~rlL0txtglhP?z>lYBlvyC+xLv_o@oi)G}3yUQe<4d+gf~ z!LOz=HQb2{DQALaHZ?jt3=eb+!B|7!D$+!u$9-q++()g_8;KYZky<^K5?KN*kqiwV z8;(e-Lrfa#Ocj%c-m^M~SQzD25i69;%g40L@r;!Lw3&=f9iQqI6!D&_m zr&$rCe4_4L$~M&1ZxBJsh6skLQ%mUnv_KlBLGDh1RXI&!C|*P_jEd`_~};Srt6MT zC8a9Pqu2372wiHQeY@9z58<3X4N6cW0lor2Vm~F&h$3sH-&7W}yiUP}bWEplq0t8W zRa2^)YFt1%bo(}&gojY$rk&~mR*3@^C{zH*N}LpMJtbz06*Cmq@gT0_L0q3fT%SQ)pAm3CGHQGHFTq5tQT~n43aejcT|}VDl~irNaqZz zNFWoeNDlDG$cmZb z+Ar;7^3(K}X$fUu@B4n9bI$vH^L@#*SFa2A+UtVrJU!1j&w0-M{G8`K?>k>YZ$0#y zOQE4lp*e2N!|<$LdR8wztJiJz29gHi(gmS61-Vf?0D9>tz3y_bNiX66HtD@;A;${_ zB$nP3C*=DnWt}`ToqEKk!zNABxd={~UO#2vN4=i#xt}X~Xo6ll79^{O*#eoRml+;> zt*2RwMP9?+OES5HNv8MZmvWi}h=Qy2Ua_PG<3yIG)nL?KI~X)pM+DBS-k0DAf!NyV z%+Ko}f@~5~#WxBqMEw7AXc5?Npo7U(%z;EMHdnbMxr!*c%3^aBV;RzN6_qP;6;W~( z^GkCT<7Ia}~>->a@fFL_E$6?^|6HO zvsgu*>{AKHjgs$a!8&=|JB74BHqt`VbS?rQ`*MKyp-iI?X`ceT4^fN^(PsfJu?lb; zO=Ka3Mix6Rp&9!uz+tOChBbR(%h;<9Cb_<=mZZtrJ(GcfW2?h#HmQo(HwFzln{VJu zq6CIA*rT>f;bbW-4LVUl;p~eCh7PwrRuO%6tO&t)_(g9Og3lTC+saP_KHj8W&EUoO~IHeqcE8SRO?=rqnvZ-+-kDh)WJ^g-q-C;9v zcJ%Xs!sQ)r+pAR;)Q}#12S|@jX@VWat2tMff&F}NaNMAAzPN^IWkak><$ zOs|;jg0N769y9t=eeIMWp9@X}7l}A&!A0Ck59=D70WJ>N9Jc~^hAf(( zYhD^O$yupviBMuakK9K+a&HN@7U9+$xvveY>S2{dE*j>pRgBJ6jLua#I#=cBT$Q79 zRmxpP(GHP~=xk*(cdcS{#xaP_>O=*?DhA4`l)Jd_w9P;~xI|dCDqHt%2%0wf!d;`R zL``Fp&iK34rFKt60}AU+QK3`05Th%#|A7ZOeG4z z=zS>As`3gOt_Nrh7p38%PF2)_s}C>_xFiH4mSf8Cj?;;Pt5g4$39z*fh}BXGGh|+8A@6VkD_4}@97PiD5~n7LGFkHu*tO-rRg1~e zBL|Y@fYsHA+PR5ivP|N*qzn}wJ=)38%FGA86wX4Yv^@899-(rZg-m?|S7l(d`;;yQ z(rpHOQ;zQ<$1y;xI#F3;HM7QQKVCUh$ESAyC#T?GR1YStPH41FfSdRP}eG38QHLBE4x5JxrX+PV*pBZqRg{~_FQ8dgR^pBM6q|^ zuyhLcq^8{oNp8#8={jgK^1YXSwaZs}78nY*S!YQ~*lp)n+PlPql66Q}j z=J$kqi*Rqo{9D7?dRS|iqao&K7(8nU_F96yHiNx3gS|F`y*7bu6v2*cfZfVw@T?`+ zaSSxBP84=)3HI7_+4~?>4b(lt*1`wsnhyw z$8p5CmS0@8%H*5+{$KIwVpPPV_@UzL_ho4DGR_QnufnR4(~31P(o_3d0Zq* ztqgk)dl&G6$3?}4}<1@Xy|@}biYBm-(YsXL3ckOJLvAWd_fpY?q?M37una%X4Cx! z>3(s{YLa>(F~}DZgZ97#yA0Y>3%r?pMp<9% z?O|vSS}_I$os!fZR`Ix29Ap*8kzRJ$7~5T{g$5NPET{mMVtm>`{;u%OBD^z${M}(g zJ!~Mz^TP(ByMgF#$mnixbWvY#fZsxw4GGx|iUBUOfzXkU^jGCGCGyD~Ql-W-uEzI- zp&|@rHNH1&tcQ)J#zv~Kk!ozTc-zQ$+vo|5y~^y~0fn4G4(9~oavM99CV<#R>b4QO zAq{SH-SbeS85_!NU{$I4tgHEb;ax>|S61`Bu&Ew4nM zW+1m_Ah#wUjUted4Io?DjMJ?IGLC`9)rkUfD}mgafaJo!#yfzBQvj0cA+W0x5ZKKV z;Ep~4MQYj)JC*xj*=B_}$d0VHagd(yBs3iyHj^0}7zyI%9O54h?<>OlGQ>X?hU;P2 zAVx!o(eT*|4R4Fk@U|Syq%|k3nX=}jHK(jOjTMJ!nqit|*!Dh5u!apRIN7jgbmKEG z;TwZtHy!e-k3{X})EUZzL55*dKsn6on_=6v#K|Gah=X)=aJ+$pBX8Ib>Z>_ZuQDZG z$era(9OiM1v`IHG!*+Rt8xNy+ybSZ~9=02pVcx(D+YQWP>|I=YJas&wVYMgO!CRF= zVnjWa`ku}LYhOyeX(Zh>s`f?<<;96BrQK^cxRf0B0_6H;b`O`G&P&J*P{%@1k*KzY z{ZojgoT*oT5**(OM)vx|7Irp}f)`6f?U}@v?#3reH(^!1aT5k@YtJT41zfuSiaU`n zNPk3B8}$81GiW1Ba*zxWo(0U{UHqE;>uuGUn!}jWX-vf&tF;gFNxao|8{<@VWf>E0 zmFr?BFW23C1_Nu$VZIcP(<`>pX0m^w7^Q!GnPYY3C!j@1swgCaHm-Tnrk+bvWnxW0 z_Hl7OHHD;k2y&$J@sQ-yRJOZqAFsiO?e)44&&I!`l`pi#mrk?zLYjPLujpLaYnO)mbh6KNID85Bd8uJ~8FhLI{U2{dVhG9gExJ+fyCt+HJ_^HTqke2`k{Yuaj3JfG*;1R`3= zNJ31W+1}rtfSvi-h5MVpv5zezq}4(2Aa5frbGw6ZO~HN{{X>D3zF_tfLbgaJs-IdY z4sf*TME$BfaZ~v5@ctsaKcA>S5w_LCHak(#@I*z!6BP|_Gq>@8+{OcPo7XOHSP-^( zAx}2Wx@}&+U`yNZIs^%Do1J(lDYhY_qQ2jzgNz=#jmH;zkuSFKeAs4(7PhqweuH!! zJ0jI}DOu?~A(ub~@g)$!aj`_926+Li6s@bo;EnQ4ZIn*7nPSQ`MoMyx;Q~gzz4Gj|;8a|C}XGYu3jJDkcLTX7?e^e+B<55@OG(qOxu!oIK3IegW=(%4gn9_3DH>{u zhA9{gA8OF>p#}{fYS8eZ2F(;U6S$ec&4joalIaR4_(pI}h4g4?6pPTvM%mQLF7Rzm zAQo{9;IB^fORu~digOI*mxnIubfSQV_u)1<%EOaR0Ja)0L^WQN#*4zWb6oU7>fk&H ze0U4#NtZYvU3&*EtewKKM6_Ba9A|HJ0@9vOK*`?*-fI$HQ|*AVUCGpV-VNp}H~{Cm z3$HJz3C?t4r5(h342KaSvTR6+%(-^iZN8`Zk>cOwxtmVsrP35jJz*{Apn~MY)d_nCi9NXkLa$AY4J;#+5m^r^`f9f-r zvFKGQ%PN1B6YcA}7D!ujtSeBP;S6m}Nm7&+6@_OlB@>9Ve;IYAvWan?e~rRD=)Z^ZIAQ{qw{9Ja_NsxqH9+ z2jtvuCVEJmBMkmWp(QxZPoPhvVqsJJ5=DI!Piw*KNO8XGD1@=@H!}mfv=?6RM2Rq$PfM}=N38(u`H^+4g!p@W*jiRcN zy=*p{?}c{KqHzq=txgn1JLz>hQ<2Aoark-%GMZB`NE`J~Qc$)g$k52jt|Ss)T=oW% zcCv{yTi(E-GB%)h3Lx*a8V|1Ef>1bj*aQp_b<)mc2zW8TOoq7D4e@K?<3;#*HpH)o zUG=cb41tE>iG~j}yJ(MHw8yS&k6qawyRtoYC3_e}dqg(u(aPr0v5WSIW1zKRo1m4{2N_nDrEUIK5d%}b>1!zT`at&=V;neFm{YLmi z5k8S|`pvMr9(Ef}Xy~xJ3D9l=v^xW|I|H;k1GGBA0C@)-)+qoK)x!g2^C)-xbJ_;ycCkcgRj>7MRPZi-)8HL{sd+TAZp@4=lgN8A)mtgEA7<)4qdovh& zGZ=dl7)B9{$Oag#Y{tx9f)U36jOs*zv6o=%O<-_gfZ-j88K(dS)kBa~Cm_h0Cjb|p zfTFX_esFd=UsJ8PLt@*TbKG7(uheUA97NhDKO*e~i%7e{Mn2qYui%gcoth#Q@5A@n zdqf7VD596{~&y(2%pI?|6v%ZhY^Dr4HM1?@f{()BN^Y3jPFRscO>C!6!DF0;M>Y( z!WkjHaSYV0PF%pxu10LSPl9Mlu$@D4b*Q!q!|&0Qp` zqhJFcHPxcu-Q=j=Kyfg_0&&Cx4yDtGzjj^DK|Od9DG)J6b=5c=ulPp%<2#hNBZ{i- z@<$}3b~?)v3Mw|WEsOKK^qCiJX?*Acg=+XKTx_FuHf!+0ck`oFk@B(jyWueN+c)QB zMOxN+bA*ncFF2gIEgP}4^8_h9jpMTGMY6;DnlzUuElNw{#*F5bC&{$(5m8TP{VTAc zK&7oULEm0zf~YBDu_{99H8&`t#wiuVke3I0@#pNz4RJ;mNYoazCDDUT8Typ2bD_l4!V? z>qt0!J4m~}a$*;SVc}DtPIp zqnkG_m|! z@lJr@iX<99)|ZiRe3}jU&OEV6Dm9MUsV9AU_yxeI6^_z^xsC4>TmUZDI#}6#S9{G? zMm0qDl29dn2_@B4BWsph2%L)z9KGuBg$0gwlOtVkoD>O`2Xqc?f@Vae36ViSrr2RP|?a$@Y{#-uoPvw(Qw0mSDR9e~mJF@*u zU2zOjmyPKz-=BMNaTW()cP^RwnPcv!N9|7q6Ex$)y?3BYYBLO3V1IJVHhf(Daf5IK zE{rqKHAe<=N)n=DMqJ>SCYE(~)5Z|V`#rhza*#X#DcmXe@qVU^{qeSrq+D{pxnzSK za>@PXl3L$fk}P@8ekK){h$PMh;cl@bQgpXA6SQTy8EM$t(l8&2BFE>J^{(MjVN_@GbZ^0-REz3rIQ`0%#4 zJbL6~W9G0(BTd3JjRksl`IDVlAIK6b>-<#i^>t3qWu5Jv*ZJuz zv9itwbFZ(1r|7t!L?Qom+S$)^W`!)fvSOKgeMP)P_Z3lI&szKO&I^2~GwWybDwVbG z&%JY68!y5;?fd6Cvp$?xsjU6;xpz)$qx9~y_5+<+zmQj{to;$|r5TxE9b+70bl|5_ zN5$pw`HIKEWMwf4Kw=uRq=$SmJM8dNmt)9Q$T~g>2|SLcD5SEK*h*2}pXBpiSvn=Xp!ODNPB|oC_5$3yHU?L(J6FfhEjp zo2CunD`p>xqusV-$Y?etOAOQPz#|Bj`AtP~pLgXmAl3lPhYmLy4;ZB-&J0tnfVk7vT#<_(IO(e;E$c!vV|VXm}BGfJyoQ zlk@>k(swNg2RwC>jVyb>6ZPHXFcI>OJ0)uZMAi9u12bG%RM&u$V!^pOqP>J;rH|aaRWUe%$SWqGa6c0gp6_ zc#hK^mg8mpqqC{!`v*zN(a~m)E zjogd0YQDwa3u`m<6K#8cGxufzLMol3(PQySH;R?^`h9b^DqbKt<^klO_g#c-K*kMr2#s zj((fHmSHRTXAd2}eQNq0uqs*eCeNDxG5l>2{x)aL{}c|@!y(I>XqYw8Fl(aWmBb;Y z%R@|;hw|}t$kXNW1>umTOPnB1O>z!&I+WEtCXE`Fm{^I zx^If9njX)c)v3A*x-bx$r9uS><`6z*MyMXLCnJO(R;WFxe)BQaDw-lHVOKnr7RMjL zMigsbn#0tH2M%@kGsRHzLfTjvgk&F$okK}3uRYfCf-xT$4&CMN+76z(h^YWaE@>1cfuO|;Pz7Oa4 zKJ4+mSG~34S|$~2uXd&WOZfXD{C!sHzlJ08!x1WVgi0OpI?#~>xq_DDX<|$E*jOG0 ztQt)W6K34l9fC1|ezSxA-@-o>;U6;S|2-V7hoj8*^TSbMf0WoC&DbB!*dI0Qu~(yr z{ZV3n6xd^5M|lZ))DVYGN96@{dh*?qj@q+;j{??5VF*c#SDr^@4yLH1G!*%gjS})` zf;bM6Y}zU<5!dB!)+7O39DrbN36R$~Apax$V-fx_1M)w^v3fXW2Ot_AfM|FCqTyo$ z8s34T;Tsbx48}2cR3!Fe1{;nWqloP>?*EuO zDo({?j!Z=cJN2Y~sxlmK9b?6P%;1ttqDxbpUmW@NW__84vQ9o`&ga6;utUyw%sf}p z>4x<8x#}(r;Vi!EDXq(I38)@C-F8~ws{*bL_o!~AM~jh_yeevaO|wY5@aZ)v63e*- zYPRM*pfa%C*d7e43}_8+;yU8ivXush>T%cihNFdZwFkL)HV6G?_8zh`XpV0E^;-ZH zg>X>KRUwoL?jll6fqLvJcdQIGK2qoib&`N^rNS*+#!-PS*YGXF^R-@!AcQfoLrQHH zgk0hdkrBC%gJ!9Mhbu}@Q+1@dOVHv~`)POj?c%Bqa*+$!4|gQ91A;|HN85Rlr1_M@7iUoe6vR;jMfQd4Tur2MxXOajvEqe7Q^rLlL5O7tl0CLnJ?tH` z+XQXZo*yYnX;7Z28*R^!GL_DDpQQ|7XFI__F+kzc4Hp$YNPCw+{~?gLf2n2uu{@!w zKvt?ifzz}L6gcL%-Q}mbkmW>yXnT{8Y%akq%v>+-UqYx%clrCKEqn3A?pthB_R%ta zUtYAL${(ng9~I>&wt+b%DRXwn{#;q-o+mXfiVJP;#4F$?4DOB?6wg)^eGD{z495Zg zGS}~^;TK1IQ=W$?It%zpMSxk1)AY}<9kZLH=h(5t622}}WNuP+x)4S_5pps771;R} zo2e|;0_$fez-+mu^Qb@6GIC)&VAIPeMx}&Yi#185Ch8Y^Q}|!upNjBLxhDGGVWJ); ztR_Okng|VRA~dXt(C{jCg5}Qy%b$t->@eX4B+j)7D}Th7icz-sz%BH z#1nif@tLqT#lc6sDF$f2wPEt}jNqL<`6ZUMcC3F_m zOb?nD34+r|q8_TsO7+kL@|rftXy+efPN-Dm%_Uh#Yu3SkT*_H8FUp1qybVBA$YD}U z5`>Ze)jUWQT5;L*pvSC^~e54&BHO-7try zP;J$dX$gaBkemM z7f?p=;kZeAoDvQ9q+Sj(CybPB7B127M1=g_@Mq}}N~*BnlMO#hB}ra`(yHMp=>|@f zztj>npE7U=#W51kG2P`Ksh599XR}xzwHfwV_RiY56wu8JV%2wkLA%l0aKJe72-+r~ za6Y)X9?cu)N6`@)A(`H1H0;3)w@XGDlI^$p$9ZQ+;c=5ll#Z9Y)TZ)6%khwCVpT;( zMzB{2*HF|Y{EDz)rG4 zI=CXC;>e?%J4;9W!Flr%W@;7>*3b6|F$ZMlK=IHN6?e27^ju|73xb`Y;mr@X+8G+w z8nbmn?a-uAW#@llJE!Bz@eD6Ho`H+0mD=G}&$!3K!$o*FXWT~u9BR@sE*fTBG|aeY zm~qiC>>?$BMMDP(aBE87wwqMR5^&&}a2~jwbO$Sl4J zG7AMtH|oLYqp|`pt4OM_*%}jEUB$u!rwigv@D5t7EYlsatfJqQcr{C znTu_>9tt&Fl!lAKT@qAd=AE=s2@fliJm%PoUr_PhthzR*n~q7|uexOgo<1^-9g)S_ zi9SU$B3fhoV3kU=zEmdli}3-aEdW_iZOU9${~4C4N9HzaMOTPZmn{0 zr^A^doXO%I4QJoCp%~n>T_26~87L}!4aAI5EGnBej3dU> z?Jhs3t*}mt;H{RfhCvYr@}bU!X@{2cu8WXCtqpU#DHEqcbd2rft6|OGQsML8fQsx6lWgH`g zkjh-fR2;z{Q;@1|@87h&qoRW1E zc6KcMD5V>Gs)836mdo)F=`R0N@UVDwQ{jOt!dw}KzsrcdxaIPp59Q9v&_C5iHt=7MG9%Vh17UrQw7gmmkjq71J{QYS~p5NO%PU)VO7%dH6TNI=<7 ze^xeA5gw9;X|cqt?Mq_?x^16tMVleU zBh7gs2ADPiCm7+W>@F%(2;}%U5aA|QpHWGv1vS)e@VDf-1MazB3C|Vbx$L=L4KwvH zW1fqKo{NT_i-u(s8kSLLSVo~?8HI*r6dL{_KblkU@frH~41IjY4ZnIpn2}P%e>TM_ zu*8fz1cG@+p4?sjW$s0Wobf2WhNL}(Eah0)_;jS0+7g?j9iFcwzXv{0poX$JJi=#~ zk1(7Tf&%=y&=%*PojZkUpSr>5Gc4X`{0fb|GK_cNbT!H}m^A?g;RLv)Prxf|Dhkv3 z9wb42I=}6bs3tls2|tVY;m=51@#A@araej&2LaWXgFwSU3+*oRk$jxLuq_`)Sp?De z3}xBK;6_x>Aa6gzVanSG38M@hD_(BkC@WD!+AWnx`$cV$m&#{FUY5z1Wb*P%UXjTw zGx<^@slv;c2392MT2e1%-%X^hB!#=DnU`NdO0IZ0shioypLOqg4XMjW^^?*&AruBP zdbsSml@trBuGf;%LA8ozKw(Be2-CgYVdl!kC@-U%R&c}9YDe5CkRIH%q9RasTO3zq z8SSh38%Iief}Wel$c=hfU{`zPo)%SAed3~g!Qjg)Y&z|y#g9-E&h`@=1Tid8V7Nzi zmXAU7Y=Io**A-|FEphV?Jse_W%3{{{knZbKKoTQ*ZNuPE?&$*i% z?G_c`4FBB$ZX<=BMF)x;b5;Hfo;&Sj9DW&xTiD12FP95i%w@^i<=iDN>MVIqnrXR; zX7W7?X!!=?u#guiBER1A#V>x5OJ2^+ShQ<1S86l1yNV2fF$Wo%Vi`lni)9SWX2!6% zQtnW`G`18J8wHZ5mW!y|l~m5gNV%6uIn#FTE2RkTyjszDwN|@c%As?&E6?x_9%EUo z5|u*C*26QNc_?o*+*frV8@n%dW;TC>AUSzUxS~p`glh^WzE88TGS6UG6{zErn3QFg z2>9oh0b4T|byze{i}xgF6ouNXO$tYC8zWiURV2%UbsETj3_|%&Gn-#Lp8v4Gj523EED-qYqbMe`rh ze-D*i<-DStSL=RgUKdWE%f+*?L*;_TBV*D@i=85;P^A?tiigHop z)x>+mc|GOgqFfw#^TnHV-X-OdqFfSr-Qt~a-b>2Mi}LcwGhL>fcSU(+QC=B&rprm^ zy|jE;QNAqlOqWy6`&Z>vMR`@^nJ%ZD_pi&B7v;+%&vZHCynj=EQ&E0XS)EqFfPqw%(U~-VNoA zMR{Z7**yCH z&_i z!J09peOLL;qI_pFFQ&BbE;khAhGt$&Y2Q;073EMfFQ&BbEjJeB#%5kjY2R1At0>>q l%!?`QzH(DhZffSml=l7QyNmMO&Agb>exTf3l$#Up{{npC0=fVI literal 119821 zcmdSC2Yg(`wLgCEdTmQ`p&D$!ur|iX4QykY8_2e71hOO~*_gVlq?Nr!)(Wj;;~2xv zK!7BqC!}`*>Am;fL+Z=R%galIaijMR$^U!KlsotC-Ib7&_x?YBK=t9JAeZm;$5f@$`HrpG&H+DJ zolf`l=eDDqKNj!TWV3y_-pzhBie&owvb|knYNn*qx!&GvKMLmivi$=))4iE(*)bCU zb)Y}fTRGZapW5yi@IQ{*Zo#{+hSAVupNQ_6y=AL{< zrYD{6?k;2p5>;qGp_9HQ*V~ou%n$YsWcw4Iyhr|SOmghF@m19|6DCfYeAwYf9C_5y zQ;s=y>a^pIKVkZbC#7c0JbBhBvrny^Gk4zn1*e_9aM9v3mYjLk+2@?QblLJ1E6+Q> zZq@2F^=sE%&~V{Jjq975TP|*GYu~W(l1-OhcKH=oUX{K&)6vN5vl(e^UHu@n^-K7k^RwW${l47hFC&r5^ zQ7vl31Tj%e5|hPY;&5?t3+B{ zEi$4*bc!yK72RU9*dlUbtGGt=h;5=*x6 z7Vi=774H-87atHG6dw{F79SCJijRuB#NFa!;^X2I;*;W2;?v?Y;+SI;;Z6o;_KoY;+x`I;@jdo;=AH|;``zU;)mi#;>Y4A;-}(g;^*QQ;+NuA;@9FH z@f-15@jLN*@dxoo@gL$(;y=Zo#ea#vi2oLU75^jtCjKsl#JysVxKHdA`^0{6KpYhJ ziwDGm;vw;{ctkuZ9utp?C&ZKDDe<&;Mm#H?6VHnm#Ear3@v``b_^0%wFB5W%OvED z)$N&{!L0WpwYe?7J?p*1B*^mn%S3|CExDd9?;ngTz4m8&y?>tXc_(>Z)r>-}qX+hr z6H-*OFN6F6{yl?OvMoE1>Fj}d=cJjQmz+WTAOI)R58@sDnB{q6W`J?@ehR&3ujTjI z^xm80_fzS8v#MOn?<#!`z4xf}x%{ru=Mjea?x6Ykl$lfLFQC2w75(!x&zm?S)59V$ zkOLhEk<*EI+1^Y?PZnMWBuDpjAyKP4ulu=33ChkMRcSH(*3T<9!9N7WkK){#}k&L$Dy#I7Crenq!)Y{9|+P*j{amnhqjzm7pI zWs&R4bYe77r+<_gr zfzB;lVjWSxGo$gj!1E4w8D}#ZsBdtWGJ{$)E+jbp*@3}+B>@)^oUMb~6mE_5>)Jt# zL?Y69stgB^hd(7WQFq|(<@(`=F5J$An%%TTDy_v$TddM9cGJ#KX{~PB5|!5Grk$zM z+DTe$yWH#sH)#ZawU-2G!v~L%CCC22@W&pIn2cIiUj* zwat|61pm^k{#9lda8DNoJDj8}ut;|g_VUC5b(5Yy#vAKTZpm~K%+$cnzHDLXIKQf; zKeKsTrm%FpKcS@`GY=Z_g{4)AAt0UUhsqlOn+N-0DPX21tbxhMZywBE1nGdSs_D#Q zg3$@tD4@M0kY*%nsCLyQ&8=(N8ZN7+ipi!8jg3@eBBiD;Xjpf_s)lwC=IuyMXsX}T z-rj7I_d=2z*0;1auc}+sSfAcl*SMjcY~E4p>o%oZn%f%M8#dObn>MUpRo_bX@2K?+ zP3flkb#-n!?BK-qy4H2|?df%m8yYu}B^=+HAH;CVk`)}+%rhx;Dp{SZ3HNm(b)edi zk1cdHx>^{>^ulVO8{^o{6sQB$+cW(+Qj8$Mq-3=>(IwJk|KxQ%XG*E@lJ8IF3Ot?L zo=vStb!VVVmem~QpOfo_y4ZI1=?yf4>do}1m(v}3pWX&SW4=~EA`QKK|7p3i1`f9k zKw7eCO!Ej%rnfVzrekPi;j)?|M&H1Ze#_-4(_$Skpeg6Fn(Em84D=6DUL{nnTvl_` z=&?E4KP$jyO_t`xmGIH@MVnJb-{vv?+|`=*sWlCCjm_&e)Tc=j8%YY=Hmtg^esy~r z2)*dsWi`i+zMZN5@;aJvgW774wdebCoh|u%Pinz}m8shDZO>aYXIag((YJh@e_lY< z`fYs!I~$<|+6H-mG{RE?PfL&jP79TEfxO3$zVQ=$ab?hYT~}A6?G(&pDwoPH1`?3% zRBdj>!lk+8<*BhWH*emY)UKN9>il9L7>9Tcu&Q(2sah(rf?Al9QuZ*Mv2ad`?J-h! zSKH>xH@#rd(%ed5w_w4X_;$NuTRyk>bYhk&1)`!S9uY< zC(fOdx?x4Cv7xO!-Mlv4e(4-TYBCko1dEMEHT$;lEJC3<)atx>s1ZO)w`B(!dAh~z z%%>tG*H$u8x#dV&nxY{JE;uz?1_v4jvfFgM+`L8e<3|vIQ-YDAjxMW#_L(ty;?DHN z8w29j(X{7(iNc06t=Wv?%6!F=#`>mp?H8oiQb&oOpjT>T1;fL9Ah|7Tnkq1$%%Dn@ zL$0B{etmjXU0eOU9N`yNo$yui6PH^aOFL9f9z8c_`8S;&aFczh?b+UJfo({k4`U$P zpX%;Sb#-Xsnly|D%z(FG+NdlC3v5@%ibYFNcKM2?=Cnn8RefE18zpN+qO_Ru$W~=D z18Z|wi*8eG)pm8vA!VkUQHqBLsqv_&MqMXnq_lpJMfv$D%n5d8`!OhI&t^qc4J8?X zuiKu%?tm&=woC`yT!uxu5v6u1Kn6~W@)D_S!Vt8efBo8f!z=Wh8ngc*5`et_50YSa zist%EyjLg>VUAU1Z@6qMcf&>EnOl*!0c*io{MR2i#;1&aw9WSA2Qk`=-dWSo3P-r1 zxhdTm46rUOy1}$ZNh1#en_1}7CV%#9D7-Qg8S)9VD8B-BD4S@g^KTh$t=XRJcFYWE zEEx>RzfglVez2A6B%5F!jhaRDPQ&q)j7QbybuD@UG?+P%?t;Oe5B|)@h{W1brZ<}( zER{w)4Kajdcx;b*ma08`>{TuUWOe zzP)ZuU3;CfS#$g|U&-{HWUxSU_qqPbwt?3A#`=wQO{?p}&=)PMnKw%4^ZgkXI+jw_ zwbrc=G_*D}tqUW+WLeFk zQ9@tr7e5m?3B-+c*@3oB_z4&msSf<^$_!+*gMcxeTF$c(@}}`)Wvav(!06E)Df!O^ z0A!<`>~wRt@q?K0m^QQbp=+4ZNRA~W`?qI|vZqV@;-^b`3azlYKeO%swy&iE$sIj& zlpQ_Gmkr^Lwql113K)wCG(Dm};2pW9Im=<=l8lPeYVM&FExrFsCR|1sh7p|{@>}am zhXp#Kr)=cODJ5+j+!eOlkhC01GU_(haevK%2TcTddRL9f8Y)2VI@7gRGSOoX$~NU9 zQk$C>*AccHfZUf&)62{(wE^GA<8V$k^-8n9wklIl+a{7R=o@#h$>bJyL&Y{ zBXx2QQI3Zp2urW=mF?cw{1iJgEGPA&q{&)8Wp>uooP2$*H)l827Lv|bH%ibK_^%2} zU>z;b5Sg(bPm#JHu^AAiRp@QnISUGmWZ;AmSL3E(lt^Fb%S{2+&H@GDjqs8!=t8{naU&Ou$|P*1q)KTVKkANIX_j4@Ppm~!+%m9O^8;W1C-{c zW?nTDSip*0(vNtA;S931}6m@{2jH^DIM~QQbKX`enrM`7-bL;wab4z^_t;X1oRi4Dz`G5U~yMH|?rqLsLVW<{lv)k8H#QUOY;CTgxuoHHH`N+Fn@$ zjaZoGg}ZjAfwq?Vx{EL|T@%1g(LadivYHK}Y+$3)Ktoe|eQRU$>J9D9p;lI;uz+{T zC>z-1G=Lt|ufCw91Ly&k@Gc!?1DClCv|oxSt|)$Rsk4^Vq(|An)lLIz8|&7Uf+rH4y{slP$_6_8TDwZy zRM*lg0{lfyWOUpQ&o4ncv-yElWh(7r)6ffnP~mcA(9vMIODZ5MAXXaF*+vzl7h9Vv z5prCS_dfLkMX(2fT~0{Yiqrx)xz+qbi&rR#g$$)=Thzn=BBCm{d<8(OAVA(5a-=Yf zCPxIuA~`>mn~#t!t&kP}9Vw@noRVACV?h3 zOJJB1L47CF(1ZzR#4XYq2466E-R4wGzgkmgVXFpVu`I%}#8njdrj=M!P+|1eRIq7y9V;d2#6sq+W?o8Ii`{E)C3WFoQ}h`poN zfiU$ZEY*R^ZSKY51{R_;%JVUB;h+Wr3O+kO=A{;4UZX_Bj3eIv3BrMtva;Jh?)a$$ z_Z$OOBSKo=w7|>1U+V zbizPUQQKE2pHjDxq~VF1VTOhKY`-3{DLNL8b857#Z~LbET8nukM!Y_o zfFI##Dm!u3lg65Ay%-;};!kB&C}R&ord2>QcJ6i5blj$Ws7A19uMmRivyGbB1(af? znR-q2NarFc;xlb;v2RBc5o*+I7X%G+G1Gdv$#41Do~1Tvb3Tb@2flXUAD0W*I$p^Ah10=RB9_2mpH<~NXK-v%(|(z ziLStosLN%*%~x!U?RaQZjJ)@n6Yy{yB;5we(jXX^E9`O&xK+A(xy%yGR++;>YJb+A zVQsr3tj-`Ydcs=mvNi(JsJjG_D5+Lig`=)Z1;Tp`UxCq*!MWxBOy8E4JPPp4o<@%W zueBF+P#ql+rHGX-=!r6=H*U zmU`~L)G-RoD{`Whih{w~IZA`|Dt|_FA%-HcfPq;dSyr=al(4V&XP1K=7RcSBY~Tia zaJx&-RMQsu0ohijG+InZ>s4dK4f^{yYa$8Z=U@?QmtlH!Jd{{ zmED}{wTd?e5DuVlypwVe*5><%Ev)^z>e|-9o@@s$0$Ph>aM`X}in+8}xw^i$YgkAi zDzr}t$qXB;lM+Y#2wj8quu^cr7rbyNd{_?t)Hz+3#|Z38CCLPN#| zeDSTLhx|5ws)gLv-rQmk#>~9<_R)jA!#~b~t#4Yx8bVP5+wH}7j2`ei9TCxh+v+ZL z;A2&)_^#2zf49R*4S#I|juABCOqc2acH4{Z8GQrq_2)z>V;Tr|1nXeM_l>@t_d6of zj;nJvVAEaq0C$iSKQMa8AM{VMJ!oiZYp<_cLze_-;ES-5U;NPMp?}zsoVvA$Yq5Yy zxqM{wfbVn&vZ@||N_KEAqS5)$(L=t=QM^3(FKK8CfYSiId-T9R=8K~P|3InaHmrK? zgdwB#ldjHG=ax|5L8p5ftuwNIqSO+H9_Yo4YE>OtFtU=N)jwv3U7fIWWbjo{q?Fi~ z6)AU^;|`XX!I|&J1R-uv1;t~A!W>kJ*|RTxeDpN^gkSt!l&0;7qkveen2t^r(=kfI zy0I1`rEW}2hb4BYDRkEPY)D7(lcOidr~KlbB?Q6sUxy%FiU_o=H$wT+lMUPJh={4l z#-~T$$IpaLIx69A&FpY^en{*-gr1i2S9SKYqwnnJe36SXbS-xGLK=f_c+(@h7J9@v z#QLvO8+3~tbW3@*vSLxCR;21;yeDV}$63R#z)!&s*ByeUNCmot4N~yawXQ*`Q8-jM zT;1e2^~$ND&ySu_UkJs_D5-R!W{h%oV`lzMjN+AQ%ce3uzLu6sF*C(4j-DD{@^AWk zAhv7sJrG$E+x3}#x|Ze8ZC$y;0oq&Vdk;FD%>ujlHc~BSmdGq2BWg^ok_Ga-6hg-% zAbbvjV%Ha=Y$`Ykk=cy99T7yIqWxyONLoj!yj~Vq$!a@5Mh+d9clM<+14~nAV2xgF zYVXHAsJwWK2Ext41L@PPl3y@9^4-||Nl_!iwO~xWaTI7^&X4gXY?>LTp@JsS8N4H> z1&%9_o*bSUUAUL2yC<{R)o*diT(h{GOwgLisNACJYEZO)Cqh29_4VLH3GmRtjgBz5 z3Nl!i)eB8@Xdv+FN^u9@EkpG6uuF|hQtZfvUPO=d@HNi}0qx`k16u1LIkjspp_Sa? zmq)K2zT(Ucc(HuV>gF}|4d^z_4Zixy?(xu<9H`}uulXm~IgOdQ8`v~E`1&Eh{)RI> zSW~~cp^fgpv6$2J;G2g4{aX&^s~YQ?E>fV^v}>w-`w(D%$HCmrA&D#uo#^u2LxBE0 z{}fB}mb%t9+!3<6dHwpjrZr9%h&Xo#JL4Xti$u&+f*CXO4WNd`Ji)E0He3s~ zeo0v(Fj6ZHeJBr1RndzsYgeRdb#=^@`#R^GzGxxM5A@X=PDzIxPAVA$)nOG55WuSK z>%=val)s5qwT-rCB=Bb*9r%Gi;Ye8-R7fXlZqfF|j}KuS{KOaC!8jlyHRIzlu%NV= zMAB*~8J2$_%3?s06~ud#yFJHjD0Be4*-S8S|CcxvqH0JGZBS&CQN)Z<@y2wQww-l% ziA_H}1UCK5zxlL)O>1%md}koL4Yv<5i#k&f&dx2Vu591H7Tl#_XiMKmz-I?u8MD+> z9(9!WTK?FsEiyAzOF^e35XSo?L9#O;8f5}F2Kp)4JGX)@0MlB%Ia7_hqQI;b%Dbf_ejTSh0qi8IA1D(dzNsKf&*c-~T4bEB0$B&Iv z52Gp;qH8TwiH>j*>93 z@i?ZOTh6d_aJ(i-ilsc57dqp+A+QFujO6^3ZcRasD5%{O`n{X(+$jG15Y){te6b={ z-8krIofGI7Gkaz<6}sOW~+Rn0QDL|J}ke z>2w^P*Rr5?qF4++e^L`CL;YVK0`-68&$qrc-9yj1LeB*G3PJc+?btPgye)=Y7k_;S zZQbKfjmE*yWu0`SqxhRcfcsm2Y4}PGUWy>Iz?a=u>K0o5-66F6d;gr6mRnYjq}@Lp zLc4$T&y8spcY=(h;r}>@ zgAY$^sF+=_EiLoP&MX@DI0`4`*rJ_#Yx`%;F9IFC*;Wo1dQ20<@MT?*7Hb`P@TV!r zW4P}SII-8i>E^&=2o|4HTkUKlJcCq|l^E`$uUNh0tg>T)hA~|Jqfe|q{Ygo?RsjL?wA9qEwj^3h9hHdtLBszyWOfXp+o=c z)GO7EOTi8GI{k8k81`dun^7uDU-Qw0+3nwV2!nXPf76G8K}@D zO*u?-Pj^risMgt3X0uHj<>ppv0zq;OdTep zmUg%8$VFdVEF^p3!hEDT1e44rkJWHNnlgsU#gyrz;F?e&C8}XaprEE1P1o40gz5lg z)-it89wBuT&3Z(wENK#jnCkoE6C_460 zDSf-NUX3EAlnz5c)!-YFdI?4!7*^pMs*0}VXsF<}4?{$jqCmTrP@g>*gNp@QpuI2y zg3PSF71Ja#Ty21(KuH{_)3pUGsnQLa1^VDZx-gI#7%Y(I1@H^#1`4JGbvA&?L(J(b zK#`qvO2E^J8#$&?WmRe11z?pVIZ&&s4TEtN3-PTwzyR>A6Aa3XPSRPK2M zD!YKLBt_Sj^`_%6j=B*~^eE!vGP1;wP$S9^Tf)ZBhy|BdyO-Ny%y;G2M7vRm;EGyA zFk&YD=nYOiUd$prgaga)w3ZInnr8r*AcboXQb7k5w`rC~rPK{I>?E=Lg$gp*IoPTW zvARrGEI6R7$Pj0+k!gH%TyfhFEYGV=FjWxWU0RhnSq6zUDWsTjX7C-DkK#uNwW){ zW6@-oKb;M_Q;k)buGE?Z^rhxdzz4FJP1fc-g zz*!d3QX^yRaiH!(3@E4Q0MN7;s9tRcT9kGP&uGhAf|%6>jSLS+jk>|AMP2T|!h~ak zN;0F}C20;+oQ5`UvC^YtccK-P6^f>&PDzoXfe~UH5ssk;f<=a|i8enf7(BgLL7%Y< zBV&b@E_!D4ATjm~1m4}qjmHl`hMw?mexyW(%n(8M)EYd8-R1`o(?qv}cvDQ7wJ8V63q!;&qR6Nv+V@1xf-eJK)TGUEeC1TMRHjGfVT2RDuB}PC z>wjuQ1jkiyTw{(~8TbEWXo1KYE^U_znVso0ZN?%%7MT@f!*msvq#tsgRV`9GR5Lz4 zlkL5oO1j~doKu-ReDD59$YU^z9tSYEg>CO(C?dIQpv$ZQs%h?%hoCN>@};x%(HJNy z7II@;^h6JxhpyzSPTlcu*Mb}g_*dCX_C5`@*zi;^)G-ED`_SMwL&6{BZjZp*-US)q z;a%!GyOxrtb>Sj3OQ9km&&rromLSJybMzxivT7_QR7t}rImw}?jrig<4TSh_Hbv^% z$R)Z#K!0i18g?~4>WtOk0Xc_3JM4yUZ*JjK{MN&X?0J~RWYyJn8x>UNzn(q>S$)QD z2`u}nEbd~=(zOTq-eoCshnSHR^(_jOt4FgaTe!Db}%2@WP}@-RLx9s)iu`8PitFszg%w0E*On`%<3 zW=Ez#URjR5T-3QGk1r4x2K)N@@rmd(K2e?R-vI@VVZvTZ1@9h`<`_BF7)k(mxuq+p z$@{z2O(oV&?{tyKl9{0PP>4M&@)XD`MPhObDF1P_)Up9JaEd z;m?pO?PNqu^|BpD6KUKM#^H0@di|+{rc{#WMn*v?;$td+DY-R-&NhI$|1I2X*op_* z%)R{0z-P3>)m^MvD6|(^jU#F#2`)0#tdLxMFq4nzm0d*DZV~Ax{Ez=wgkrZHP=qqM z(Go{kT{4OZGnOlATimbqDAKFwI<8AQvIyx?@{QvBg2a&jy^A^$_}TE+RSR^EqC#cc z1x*^mJziEX-!(@rH)pnIQ-i~uS~J29idFmsCOdqFoe@t<5fb81X@7zSJ{TN~OcI~c zj+F4DHCq_O7GlM(C>gw155&*R1Gb=9Q{K)}2@ggvgrU3mZYHs$yzEpi5#B<{G-l)q#|hCy$J z6ASt>bj6&$q>}B6&ZLtfSRCva?C#F?FQ#&ZERO7`)>3L!H>N~tO@C$w_<)TNTH^{T zY$ZuyAPZLV6c4?}&EOjRJe!AGcM!Xdn^kQJPQRH}(MzDWEM*fyJ%j9+o?%la(z75F zA8ZQYNDEh{fs3*`JMx+SE*OGs_yW}SY^}x+YuSvvQ`YGbBIp1Ec*pi>!AhJB40me5 zN{5yw(*-HrkzOJAW>?Tp!jA=o=Eq7}@V0PSNM_*8P&=$;cVsB451l@B!Kt(Ybm}bi zSg0P0)MK%FoS_~|PNmw_RQgPna+Z3Wtsdv7$GNA@;c{CCxAmo3vjhD*BdtQh&?@1t zQO33fBpn;>5P7Vws{`7sgUt=P5m>aMq57hA3IxIlMN;aUI=B)|WzZB47F1H*UO?8_UxcmA5`xANV3CfU zWJm;vD6n|ZlCzhbbH-Uq;(!EXaEkpO(n1Ybm(EbqProLkQ7 zVGb+^)GOq7ZZAdxGu0UkQIO8)By6|^0?<2`c+C~5Jy6)5ttDc^lEGF-faysxY8kCc z;7+reLOaK`Ej?MXdVB~a)2kP=u?>Yw?X&cu223Is(H-OPw9yVb-@RRMm@s$L3j?Rk zxr%&mYKGU$gntP3I*}OiyrYa0Ky&Qv_$~`B2KVmuyeTGqO*Z4??D4#lOin!oCpN-l zw5e~UHe#wtweR!1>84ES9kWzoujkqI+t%zh#0kcL+2?u3889)~`yEW`day_kQ|5rv z4-R_Uj;MtKVQ|p%jebf{VSL@s4K>3PSP486-o^|LmmErmKV3t)FFU#6JJTumA5LGKO;gJH=lKwJhotU3xaz)NQ!3?oGYwgtQf|bj zDLTX9yHm7{u0AzoW;%^(oG?>mRe_shO{tST?*!W@-!pPPdL342Q-V~Yd@Z5=YH`xn3Z-ybPlkJ41n3AWt)K&LItIiqKL;X74p>A-7!+==m zd54+CXp(8t7kS=fo1X78$%{SjaFfj8nn)D?O9LzXP@|g|^oKOjqeU?K+ zoo#l(O_8%52-IEGaaQxx>vJ4M;I6it>gPHV6I%T?1(rJSo#kkgz04USrHjL+)N*I+ zhZjXmi4{&W&g7Z0JuxOZ$rFj{?!jK(DJX~}8Sj7r+C-z|*rXWaiDaBz7vreX)O24D zhbpTxb!mKCjxBta%>D@~vj+;3KDmQ=I5sakvuchG=;M=OY(k7HX;@Sxh7vLO+?()@ zG*S|H65gIfpfTdy2=Bf`VEjvc2ybs9a4}*X2yb5^FyaxnL~+M}P)ig7-)waNMXp ztJ=IrBPbdlzTd1z@v100`Dn@pQR3g_jDp~ z2%TynZ@_y7n8v#T-m^}#jvwGX=k(fj0=(xPLWMj4?}bQCK*ARtk&4)U?|}+lzV{CYZQX4`M%jCZVy<~s_MVHR5`i=B6mg9`mz&}6(J9B4o(s%$+R}J9W}b_j zoCq9WrC=Q!&n0G+lyD3@mpH{4Rtg4Lcg~vaq8KslT;NoPEvl}z>zrHbutwzuY-5Y= zfR9>q1~AtF5HaVRKhMdJ*mBOF?{Lzw)SR~<;hktkij(J9aV~b6OTVZI=Mtwo^b71a zXDv*46HOaryg6}E!kb_d^L?DQIN?n)X>7JR^$e#v*=$Z+;#7yh=Cm`Nemd5g^UiW; z6fxDDf3{QCvD2J)jx$hQBh8uTI=l~AXwF^gfOX6>=Ph#tyVN#wk>$?t3>#+7Ug1D@ ztTN}VBukyAdpPHOP^)fZT|;Bt zs)oh}#1ySrWj+bO`E{U3Ykgz=M!pjwlDP_!(9+txuC;D`wBBkEtGxjiwzaLUr|91> z)HMwC;tdTPXBNqwr$_N2NIH0#q&Y@g>f00D($Ai_JL~ z0-LtT_k1|xBJ?o!GdP^v2wX}(nZ#M^0WtbTYR+iF&@1~{YA(@CCCYufi%YaX#gzNz zE*H2s;T;yaag@_rONeVf+ras4=uqkHkDS$>@M?nlN;zo*0cXCnTQJI-n0j`*fVziS{GG?wsC*(xICi zIWo^GkWd@=5gW{_@*UdZnob!}bE^ua_V~uS^ zeX|7@xYlv+ZGjTErr)nHmpQUaQz4k&kRwp=hneCW(-S-Op}GUUcZ`}I*;A@GsdrJX zx63;`nnL9VeeZBpJ_tMWYJztvv)%WOi*04J0)5MImXpHvNVnA zT<3d7hVgI3hNm~t;7-4&+)m#+%E76zzG+?i1?jckBnE4KQps2O-qB7;{x0{by0&_6 zG8eVKsq8M_J64r#Y7WAi>GGpC?}(Bls&T#VO;k117X`g>>PdOKeeVdBXZc*{^Cmby zsMHO$*eK$I)%y?ZM)88X5Iwn2bB_*tC8Q!OB0K zk2KAuJAkP-B{rFA-eOw`-!JV=4*#aIx7t=h(MCiIiZ$}4#3obC+iWZ0AQ5kJ_&1e( zjg>Fu=<$;M>MgXZf{7|_VD21nlBmXOE$#&pcr=B|zs~ojC~CDK z>Y!a++||(3(B>T$NubiVTYOyMCi4@KcTS=juP-MxG$$;T8cwBpZzvhptMh$3gKkr-^~6s!tlLn`${F==6jPBe=b^_#y5d`H+xm) zjq=}a`_JIglQPv(ZSv`wXY?}*dV`XoX~OY1bM^G@HJ zqT(ONEQfH?h5H`${F=ZFxoGJ@^o@8vns>D*GNg0<5lM{|KZFw4Y9) z^6#~Dh&!!7Yhc@RUD=k<$33au`+V<2)h8=ro#9VCdQ;2gQ2qD&-pOU^=bW#sdecjQ zxY-2h1J?eR6y>8H-ZAm%RQH3vH%(!oooM&tdfrhniB#)D!FX`LtB3L6{-ly0wzkDN zh~rIgeo(28SpJ5O-FTD3zp3n<7NTrcs@b+0kvT_V=pH8|8o8(xni(c;A~G{!L{+VKqU> z^pHl4u}CJFYJSr9rfV69kDT?64S`g|AfQzHQx-=@kKink_Lr1K!fz`3X{*)Uv-aLZ z_ZOA>jPIosM&-{|dB>H{BN(5xa^f6t@+LSxsMP1IKcX0cdE@m9<$lHX zPes1L-{c55%KIv&NmeriJ0_I%HO%sQVkZqem-#wYD`MR!&iw{*EnNK(OJ$7-^fxh4 zvbj->Nd>-ziBd@cSLbmf-v)*yrIflOAm72X*k;3v_C$5EIw`7ZI`jC9Dc!qR5H+-O zWG9NwPL3xg@P<=Oe87m9Sb59I6O)o+LPAW8fh{IeUAwa!yHDha!?^j$!$qZt!wDF6 zETU_1o;V^Y4oir`D>fvKr0O;vC1y*?6Gt&7M^=t~5l1J6lHQnn$5!v&r03_nJxMQ- zUra$WmDUmwF%ygXl%zN+A&#zqm^g+ow@AhBmU-e>M*f&#!*aybQvCg`qeXvOQXHEQ zQ!8U9j-wvfrHJTmo+plH+>f(Fh?Kw%syKnLPO_T|vXAM>_7dSie$c(#q#iUcoxksk zWdwqeDox`NhopC19ldX6>zQE^u$R_gcI#Jh$}{vNc{^b z5x1NGQpBzvfCiNW906G|0*+9u_yq<}%t(rp5+YTREMg{6&Z-t(LE;cF=(v-aUNf!Q z3NndGvs@`3uN5}=qIO5rsR(8Z$2 zWzDHZ3R8>OfJK0s-TK#Cc~lJ@J|!tmPKa4C)DW|YOz~UWo;Z~WHM?B6oTw#I5j_w- zP(HQ*frBv%HW+=CBMye4aXB)A!eKIku4Tvw0%P8yVj+l9X8)V$8%s%0xMWcYfqzoPBxg)2QkGK>I{|#M<+gbC(qNF%IAr_WFRxGBTgob=5pu-bqa9~M?FI621=E=%e|8z%9j z+gFJ;CA!QL=O)G332{!S`!4cgY00>9Y>X$Cap#wY##K;&y1v})`anLtV@rM;31grx ztVCMMpciF@5S=Jdnt@=JC`|~aE^b$(NgwMpyWUOV*p*}zA{kHcS1gR$3kffwL)ETG zie(A0Jfsx@N{W@lhcbr86X!7-R)*MU<5q|Z=Q~_T!0`w(paQv}kSo!JI8`q80Gz70 zC*X;?q&P1j&X4bwSVdh9X?tr?J+Yd5yeedwOg43RjqPy6ESN%wN+VAqZW>pg6sr?r zO>EO*EnyYnk^|8b>lm-Kp#c#TAj~eXm_bALQ4j~1K|H}Y2nNM4?n)(|fbQ|}9-e4O ziggKbK^gSLh19o@5QPHuJaG~C?!u6+bBj>_8lClU? zIny@IjRMs?u^}ni5~4kh8)75z$*vU;J;J9`Q2i2S*G9W6QCf(&w#ng|f8B6gikba@ zMOKbD%z@fL^Oq*YB?+;q0*>M`>V#daal|PcGV6)Uxht2Aq!Z%mGN_3T z!rNA*odJF12%Ya_q&w^&cXCNwx)jDewCP zVU0r#WwXc18^EMtOGE2Ckxh!ugy@Rvh3KaK+2Kjx&ABOba5HzX+gfion|ip#>0y=a zSiJU99Vsw z*z>>F!?MAKp4gTY*Ca$wd^bdINf)h8i7w{3i@l*P+I;F_Uwjv}vvWv78u2-U7*bDM zn-uwk=nHkpq9yvNOIGZnvG<-RaHsmM!iGR&<=RbU^^wbg3hq=d@Zka{9s(gGo_Hh=FqABes)pm9M^oWox^g8ie7KXkAC5 zWhDmMeOU2wg#|h;67R9kL5C-t_RFN?n}y{B9+~x0;v*&DpT)Qy!DQM7kI-b=ss#(H zO%D-I>`aOs32|K+N{Ls6$QZ%f6T6sd`s?$A0VD=JVt z@v~GSOM!GzSuGAdRBEm1?0NaXc_^c}g9Fe-<%VnM)Onblq?E#=18S)3;REQSxN`zf zL*)knlu*oAg7z6^4B|++CTd)Sl;<8Q*J9=#zrvyN(t{8$TcM9k-*Ak)!s!T{A|Kb> zu@$@e92t-TVrE(uv!_kb0XQaZEs-ZgQrwsj#keseB#kBuZnX;VBC!c{$W1(`q$O8r zAsSmZ6QJP&#ys)rq_`;|Zm!UxxP>6uEm5a6-t9x+$snQ|FxYQpylxr6(RpzjfgDjZ znkQb96t^bCZNniTUP~~o*g0sbBYK{A9pm>}yMhxfLR7n*fau*c>nL=ZFgrTckB+`R zDPETlx0h{8yn&!tlEz4*C*H`&yumWDv=E{5CPzV9^#_d}R)6rd#^HoP*_;5Vm zH$uw8OjafY%w~m9KB!&eBDpboyyL3oSx!tIDP3)T!U1^X@o8=01l)lKZ%&FgCd8X6 z5Lvv1xL|Fi3LWeUi@Bi_giwB=p%0xGH}v6Y#twb*HY=PD^u$M#;v)%h zXFOTOT|{#0DJmhis|L`tcQeuNvYIwhf<)+J@nq+nor*(ZBm+L;DhKB}J@N6RxH};} zRt|abiO~2cIkWDGPjcTrVaG?b2zBmLah%2 zR(vsisU*Ib#^_a$E*!0+Z>!SmB~HCy=At_q4pygYvcdxn)0U|7t#=JRofMx;h)-D! z6BP*Ynb2sVxf88jXL7wX|HNqdEKAg9>}ZLUAc6WE36#HyC+J-qost;X#PM(gh=;>G zSKrF(VV;}l>!by<3Ax}SS~R^KwXqK7Ag7M|W5Hb)mT_&h) zw1E_pr&ggM7NK*&kctYn5Hb=uF%0pr%LK%XPz=-Olj5@p@i{xtBUBY%2yum~JNHC^ zD_>-;e8F-hQi8bhB{j?uf#-05wr;@zIM^W$U>wKLLD2}qxLiLlnnNV=#FvxeiwW^1 z+ph>-;w#iIE2_@D7wFShxldoQjXAm0pRYOnp+2B1V{t8N=N9VCSpGT&2^$tTqmVvpZm3g%K_O4y%$6y~LLM59oCa_0VmL0aFiuaM=NR9VbvUl3Ae8_n3Wp z=!uf67N8-@jW;q*AcR#U|&^HH)pE){w%rKwQ77_2lBUF!P@UC<`k7`q|{CFOIcTeLMam#5<-7p{!97xsAlj5fd@w1Tdh+mLA z*}xrqtri7;$>Q{j$c2;QS5!1cP;lfR9usKKcuans6u(S}U%AbSdkA`DKH-VqFe>+0 zNidgOir@Z=kby3D(V($VR($uxC>u}wE-8MK5WfwhE`Cq=SyJ;n#}j|x-u&K$g%kzHCkk{?Ci6aSGEe@KWwhME?CB5Z6wRe08aGBSU%TvpeCia!%B zR>#8(YUgi!kNRe6sWa<%32+6qYY0d1@aUI8Y37kAbkeLNriV}h(TN%~c_q~fZ4f_h zp$}{Z84!3}>R1MJL5#}6_}8m~?zvvN%{fFxy29CEU4}lGO&2#?y3*)@vlpx4;OUh! zN*L%?zL~XTcrfRTA5>+AH@3_|{uy@3^ipUKTrzW~h;xl7Hq0!d?j>^ACM$8mus2qA zP+()s=0z`@!~R&r3G~E&CB=Ux#GftEAa>#}B%ZO@c;dfVO#fnu=io|1=da4daYTs~ zQJ6U^!J*kosYqf>$H*eNW=4lvn*naaxLHKBV3~t5W&INi$l1K6{R%5vY{VhUE=iz; z|0gN_J0bpRt2-SRe zT>pj9UTMb{!+!Wf`%nVLNe^v9K^JH`xZ67|LYfo3QrU2t0!^n-56xjBIDXty4c;!SXCH-+`+>9M|3zzyYr=s4dyrHk3iU8e>u z5xzjF0ba|H%5?!)R z0Rb*qr-1NUsz;XN`AY<b7bJS(;iPylAs&ivS3E*ME$Q5=Qa$k~WAum>YA2WQd29suxEC{e;_;+- zG$9^~Yfd~d95hcn$@n~BXHTUZA+(;Nu{O3qpWozFlO~#Fs^}enL2clT*6q3f&mpbNx@Jm zuYxhAY#r4;Z~0L9ha*N;-NMMq!v0F&K!_P6fIicESovL{Gy?R9sFWGZCUA zxie%YB3{VTN%3StJXK*-if2fY%HiROXIYA#v8z&HL`j04Q$8F=NVvLMFP*akf_a(d zUnJCOpJi0co)|QGi9-CpP~%}=4x?HGK?h{q=1bV4_Uz~Jr3@VMO3!_FOja7l$$pg9w>?_)}DfaWa7>$KP# zpgEU>Htzg3XF_NrDA^!=&L;sbz37><;BFsZ=AviLhub}+$KR5 zo;epxKBn}dXU+nfkB(mS%o*VE^s*N{a|!T#df9kYE&)}0Lb;2cxd1K3MJ{^gbm-g& zacw{V=hHmB^rC0Zg0`I;T=dLI(6YzIT=dL&(6EQQVH%tW1v{y&y}2b#S3h$KobSVQ z^o30$|68XyH#Ku{_}|Ayf;DV4IN&En@}ku#jMd(fY*4^7{iw)A&)gQGSSJS;J#!KT zqau9uFz2#oo1TJI3}5(cvkm=zWbh??j!KTlI719w5k(`rB;nlBhXlrP0iq@Ki zTA>S|Exc2R>)}hFZQg9y()f#@ZIM$!9rrS5n^B9YVxX^c+@aoq zg7ioQRk(5IeB3sh6#w+(aC%B62oikC$jw>lOn*PQ#nvY>2WUA1%FDW;Jk=m0&pFUs zR%dcf%W6(1GaGUX0FN`Ev8;*V3i#8nV3EEG)d@CLxGMCOb@{ofTJ`5VC@kwAah`VP zI1}2+Zr0g~dRdCzJ6Ul;a4cNT8DaW&ng_JPXOV zh~}~-Nc?0K!H7NP4FJ^)psK7XlQmR3=F%0^oxpW#%2+x%k!r@@JcG)UxbnpEwop#i zc$eO0g6fBH^~q(8r97N!+vQUu{it~a6Z~*1%_fUPLLN!ABJ{RK7L||UW{-@}+m>K@ zA5Ab~4o;x@6s~@B87nQ1;nvI8X;eR!s~=OzlFO+Cqx57cYER?ZQ{(NtJdWy>oMb?? z#u}*+IfojE{Xh7BJT=j(VZh}0K>c^b)K;@IT@`+`<2$^DhqkaVH&ER^eSQkUi zq*~< z!O>^ndOWB*kL%8jNV+Y-l0Khc#6--Y`U0*#zl_TyPotVnFd=H3&NWVp zcv5m9m5hspMU_QdWnno_OD?9mp$I)xI)f`ME^)!+5-J^pDWd3^Ty#m;F_ULeIX5s7 zCC}!PXGI-0c@CA030g#zbGgbnW&An0lxoJsHKOV=uDZ0GzbBVd-3qaf0I`BWEFZ=# zlq(5R=nEjIdmh(aS>i9s^Qm-nY>UDscd*n7NsxZ(ifKct+J8I zM>o$KS=Yc!R5xw3`Zi1@guaWU6uiSvGCE7d8pYLBXIT(z}= zb1d5lLTGUx^)_(5_7aa-Zlux`V@FW?60W^*ShrekB50*+RdClTx8Zs6QuYBh#rweW zG6Edk&>acb%NgutaSpV+f`D3ogq>1&9wUKzB}2W!IxMCxJ1kcbSi9!PS@_dGFcQFN z27FZ+_g!91pu-M&;J}Xreum*+U1<&=JE)N`!PG2ZBn@1o7B zpl2C+SJ`QZ>?ZKxY-(IjL`KrYW^SUp;$%i{p=Rt_JF}RYai=pQX)DKVZ5e)EB)9sL z7c58x2M1H~8sD#xJ^qnFG6f8z^4%%9%^#o2_I72vYGki}%-p$iQ@L$@`9dMr(X%tv zli!g-lFa)@QBH3@MF+39K@1ejt@eb#-vF+Z8rC zt>^TvPEOw8AEuG)z-*Y4uCqxLEWt@T{Y0u(zRIu8n7dx(E`P#cZ}9VaKQTWgcl%ZS zxW{5Uri$_gzb4mjf8EIWMZYFv1Cgchaq`mnExF81e(-%6c{8=rXFdTVU(F?M@vH59 zd-7I)67I=Z5G35jWnSaEU%-*C^(PJXI$_B2b^e$+OKarq=vYd=-XBXgFeTqWEPtav zUai8Uluaxih{dw{|zMqos_5DWqKHooGzMp=60GREPAN2j*@& z${P7$|JcjT!UALi0XL~EeeNDvAMuwE6C=6!hJAlGgmGzVAfHd+NbAlN;+K0<#OMOE z^-h*Pinu@#h}uW}2_)q}~w@)a%Uh5WK#1BwtAHbWM^LeReIPg6*$i(G)6Q{rn>;_D1#b7p%sHP{EB z-{9Y^*}~v98rt%kROnm2zfykNpHth?lf~YHlD15*?l4G`8rYIe_0uClA zC6(#zO7-<;3x$-Du+!$$)X49W3O%OF1g6+e1f;iTc$Mt9_*f{;##-65*iQs-F4lLZ zS-6G1;DbG!i&e7|Y_2`+txHkc`>;?|QXuDiHHE=oA?>)5Qv8Vk&c-_0VK%$a$7!DY z9<6PCw;HYFG95kHg8V)a;YeH15&=Hf50dhGp8S3p3dtWb`QmAW+CSpjKdeAR`D20* z|D^>0_z45}ad|S!pK^VRyGv-){~6c+X?aG-pHuy*wtj>a0Pzb3@$-t@lD{M<(@UVZ z`~s+7F{ob-&sX_tf)^0oVnx>h0MI=Q=+_lkFMmT2-0wr6+HbkqZ=xb0#tn%oTBh&6 zS3L`!s2UQk^)=st1e&|Y!O&^~e8(0*~^&;c=X=%AQAbibH8^nf^R=s~e~ z=pk{|(8FTs&?92y(4%72&|_ll(BtC5p(jMs(37Hd=qa&r=xK4;&@J|&lAkGY3qNOw*Yb0wcmqFA7H{F_EO7@v zPZ96t=WOwQex52m%+FeJ7eD8SPw;cD_zXYii7)VTzW5417l?20^EB}tex5FVz|V!^ zC;VI_e!6HoAS zxp;=3E5r-@Tq!+%o+p$1JYSCEXPvC#=PFsl&((4wKi9~~{H&LU^K-2{lAr73(fqtX z9>dQDIhCIm%H#NXkvxH)jq*f(u9qo(Hp!X%Y?ib5*&=82^I}=c&sI5?pKWqJKilPL z{M;ZH@^hnH%+E{Y5`J!yXYun=c@94>lS}z|xm?cAE96RkUMbJ#=T&kQKhtszKd+W+ z`I(Uy@Uuf+$j?sM$j>g>#Luj3;b*sO<>zME&d)7!BR_L;6F;}g%lLVXyn>%S@+y9A zlUMVzS9b6-FT42JC%gH1t=z)TugdT9^K0^l{QSE7F+aZ{f6C8q%AfP|Tk@Cu{I>ix zKffb?GvtYhW~6!I@F8&66Gz_%hVSLqsln?B_ourmFp};(`DFSl$ zUW^w{TyZbd_8yjqtF=VDR+oI;eVp8-F}_{n@Oq8I8}>utcw&n#eY-C9`n}LI`=Dby z(G#CF3t4?7K%vzWzhieXMHox&v#lSZ`rk;b3tLK}aP|vqKsh)3rN zC|Z0BEk2GGwQPRuN!U@%-j6>G%%0&<^*K%38#TE1XxiSbX}e2H&g~DwEb3wK(MMq# zAA>vQi7#m^q2y0P-!DMY*EH95X~?(U52gJ8l=g$L0d`C&xw%0@yipGRTJn}Xoc!Ck2ts zQPr1eGNdGVK_7r>ksfx$~~R$m6wJn`3n;vJwkjTBHDzW4($84t3FFgkGe0bp?u z?yZ*1J2ZB;XxaSKLvY2kMtiTu@-v#cAJPo^u$H8c=wWc@lW>1EW#6GO->%j3XP<>j zud)B!^Kh46fQ$Dc+`E_H(tBdB79+St25YvcyB`2C9z^G~aNnR&x?7_pHA*)nglHrMnIIUB-~!q1(9SVQ^i;f5)SkkvsLuD zyZK3NiMBt5Zs=~_`V1)jEK~S7Ewi_2X56j2Cd+s2C)$3$q?zyq&4lYUMqkvu{*uP( z%evQJL1c*@wktikNz33CPhJ+h!uT^Kk$P3|YWx7Cb_A(i!E1N$y2ZYF$)xX%9g`f3 zm+>AMp|p9q+Pq}UOQ(71GA~(G)Az>Fi}^9WDp}(V`S?dK_a^W%9xr>wsD%5HI%n@# z{jzVIe%U`>zZ|H-3spK;tt#DLgM?}%JTL(-ob}*DmG#ghv_NGao}A$4BZsNyqlc^K zV@D(ylgE!t_#UD3#8G&m8c!aro=;6laK_We05+9)=2+d_vs0-#YWTTn=I#08%-ajc z(;HQI@dRIidTF|PzI>uW>K`YmC(-t#RPdgf5xmcs8NAOtSplT-C(qK*>Bp>7oFAvm zc7Du0)%kI1t@ERHj`L&AT<6E!dCre{^PL~_7dSr_oaX#E?R5MYht3i@r!Qm*((A%S zs>}4gXmRkq_zVb!E`G)mTYSlxL6I}h3f|8;J9t0)oZ$VObA$JDmj>@kmnm*iv&)wI zI4P*xTD~H9U$GKb!0Pms=lMyTl+(Y?J0DfdkMryBqekb{twKM8pQ~0wz5*z#*Cg=E z^lMGMeXU<>!L40~A_n%l3!EPpGyt|iwBf=4(F-pMdUjDGBBM1jjq7c{);Eo@?@i4? z=bKv~d!~~u7o!2&m5W>P%l9T|V6AQR6CY;PzuVgRchGYChCuo@Y(%C3yYUh#X()8b zCa!0|Zn~6z`UdQ!m#N=8GKf8wU5*3;>hdcn*MPd>N-k?aU3nG%v^B3vR=2?{- z$1>RA6Yz9Z^_c3hzWaYw^*DcsGcz?4#!MKSF#lIgNF;`Q@B5yYq}O}t)&D2`qn~@! z&!Xpf^z%OcIYh5}RnFf&ea{i}b07Wm{&}OWx1WBF`KPIOfOCB+c#vNi+WqR)V8Tz0 zHle#mp)0CH^%zkNjQNjK;9f4!IdM|eq;Wuvdfp|!E5BDIzc+4->TFtmU;bdc{K4ep zkPrMk&QkT#@`v(AS+S*p#n{IUGWc=?l1mTDj^e=2`AUj8hUwU^sY%b&|%jF-O% zW$n{hzm&fkFMk!v+OM;IE$I^Db%}%+QzgcjZe~SN zjI9!5$Dx}9V3mOsEnQg61ZQNn^w$YHkuWH%T=&iJF^ao13JX12`07aEjbnFcfX!|*`I5R5eht|Cnodfa#B&VAG>y^)9!5vkQvDUl`663Ni; zvEhiMI>e-*&QvjJ_>D;uqM9npZKx7q67YDKLJ~#fV33S6gJhf`QBzo=s)nf0uobGf zyG8^dLo9+yH)O>z;@B#2Y#@SD#k8?vni4@gNCfd95u8RMIE_SbT0rh;N(85o2u`yi zIL(S6 zB1R>t5=2auA!d&fXcgo(1hkGoO`st%ZR$j7U=j}zdYedZU_0eg`xtyW5yL>ganC2H zo;%g4kqK0DqJlh$-Y2X69;W4L+Hw4b(Kzj>Nh)hHXQ{H#o~DF(Q~hQ!nMQI&G++`M zxzc=tm`t;p3VdcUQFbCLjuXdMiQ@w?IYCSxE2b+k!GpvE4-%8I9IQuJjLjrpxc8M$i#c6dZQzb5Ey}il1&JZo2Lm zRZ^DeF*HdD~STRF!9S`C<9>nz-#Pu1(^%()zX9QfI5paEm<+^$! za+qvzeTL%t49j(09$cS6TsK95xLcpOK7+VELvx*qj!&S|Isr7&Z~G}ri2-EOU_7mnPJ!d1V4W@i)+)%VtCsV+Ih#$KCv3p^86-HSdI=I# zjP>9Qr3VjES+*w73q(NfHM1H5LS?!0T;l$4SwlA}#(F_F${<-oa7UH-phCk}fOO8V ziUcyjisS%~jI5X`POcIs2O>4=|10l%!0f!rIwv=C)33>-X-Eqd*M-_nl4(iXP^Kl6 zp$7Vgv_^~&Fk&1djTkXv#1SJ#JRer9STSP6h!G=3j2Lmmh$BYLy0dOpvToMRy0h-A zy=fWl~PZ{`8uP1!&XHgGL&}+wnWc4sxAhYx`!-KE& zG;6-dYuI~PCKoWt^uGLZPLlvpaJAk=3u-Vh$94IYo{|m zuY(A(NlX=AFSHQx|KFiSV84zICRZ^B5;@;o<+9`|qU0*`%~gzLNXu1JuE&6w@znck2a8Lp^p(8#~>~ASqaz260Xl; z6?w8xB^)$BH0W%;fisB` z7|LLe+Af8YrL;8Ycm;*CFCG{=-1=BW^x3f@1mocsy;TTC$A=&5fIH=me_gn~2-j!F ze^ppk56kR;L(_o&FQfl2qyI09{!h}5G?d88-1o1W6PBf7$tc<^vQe;D&Hx5pK)~+!U7A z!*W9a4G~yQ1eOzl)f#nGSqliFc1A$gH5m-(H;us)MohSsB6M^Lk0WJ&# zyaNb01q7mcK%jXP5b#kz06P%^XB>f7hntIVb4K7bp}!vb4FNPPa?r5ILG!RRk61GS zboz--Khf#W==5iF`ZGHHh7Pnbis(c(&}n5Ch?{<*6UP7@AA`+acs1nQI5bk#)fRL9 zrOaIYsdV@8Cp#@_^JS8Lnxx-Mf`Yg|J+M(Jq_rv^xtD0bWIh3T(5Z&)qGbY1Y66SX zd6&1x&MrajplIf$WZ5&5c4bSc16K~tdYG(qV}-rT_(I91#*sXF`g!#9`{{Lu&BWQ! z&j$*ZcdTu%R+&>ndh{J2JvyZcb`-DVTwMnC^TEM!*S2}-VmPPg4#z67dAG;uQm8V$ zVz!IILJ4}z=uh>vQ-XXZI2BwZ;-m%VaVtHnYj6g*IAnXkUVALTHmsg3%erAUdlP6$mRBC@WI#;=+?Q1M%PzVcDu|-Mb-Z+UN^+jj|Fo z(MgNqc$Oj6cn0#J252b%oplsn7j7%UZ5hSahk<$+Fci@+|Dj?2L&N-s<`F403v6nD(8e*)zdAA92DDiX4|D^_YP83|7`nODgwSBv1^8S$BTp!_z z>@$G))J#OxfJGI2VgN;~mQt7@^EwN8hZ9)2q6FtCl5m$eHBpqwns>miEeEcePnI4z zkSqtRu13_(OdOMC62~QFsQBp7PKH)yKJcY*7CNQnxvvWdmD|i^>KnK!1EbxibTN=_ zGvJ$Y^vB%M-wZ+^>nS=efgZ;+vrXsv4gMCN1vmWjw*t5f(g!fLu zd#A&TitSF^|6!RsZQBSwr;uhbREB)QW#1LAI+jCX6=c;5+qiD~_hCN%^1q#Ylv}YUxP^uGUo>jExD%%*Gl?x+^y#t4( zQ?Mu1gFUMgkYSo9AcOb>*dpzRow6tJYF3DYl08?MJ>e5h!Cj&ZsV;jWtbvv=Kj)a= z6Yed-y&3aw4Xf*6wPB8in4@9vtR~p23HIs?_Ua7w>J0Yk1h!EGJF)?GE1SWynqbE< z(6~BL*sUhmtJ9Iog#osAAb6Yt*i;W-S0@1M<_YjfpMc;=`(bAUyGfz9lcO^O2s?$N z$dx2G4(pQ+>$ios6yYry)^87M>S2w+iiRc38sfQzc&;&p*YL&A8lZ@Mtx2mH#aGyC zJg{wo(lW_Q_~HK5M8PsPUsMOVrNB4#PN=NiW`-wu`t7*#*#viq%b_XD!)-2Il$3G0&k8Aba=_I1sZBcq?cVb#&(x#p>>K87E}OBF+S-ae^+>C5#E_W{_e28 z9@Z1&*`h@Iy#Q>LCPw2=;`m6Gp68Yo~sZ!$^SL1ua zU=aqh8s8f>)WZf-V*}OLKs7d4ylr5-ZSVxfUS)RgfI?0ohjRjPxs9Dl6F_VOb=v^l zkOnun?s+KEj1A>Bu&UI2*46yJ@U9}fE30{5*jNu6%_Y(B@I%AHZzGl7NToMM9Z06z z!j0~k2=R>xKcg75k-cO(o58k`TZm(zv5RQfYDh^N3DHJ_2sm(Ic#?O(lbpig2lbfp zHm0+pdF-(?_P7amH;d!7Nn!LQ$LRaRyNmGdjL{E-P4%$JFhawy-9&IU5u8mKoJ|>= zO&Od`2^^ycPGkd|RyMS2o^h=y^BhH<)uKyD$BTQZPa zGLTy`kXsUvMiI!!29T|6#_1LU8OK25>O=v#g+OjeKyqPV;~hZ6DF8|J5ZKiT2<+ww za7UkjA~o%YoyvW%Y_mcfWJlK9I7m-;5}FPUo5_p~j0Ev>4)KqM_Z8uN8R8!cL-jCZ z5ThZ)X!z`fhPOp%cw3HU!kXjOoUrDkHK(jOjTMJznjxBI$o4)&u!amQIN6YAbmKEG z;TwY?Hy!e-k3{X})EUZzL55&cKsm(gn<3k^#K|Gah=X)=aJ+$pBX7tL>Z>_ZuQDZG z$era(9OiM1v`IHGLw0$C8xNy+ybST|9>UozZEyoKWH&I6v3Gv$@zn8zhSkoogSRS$ z#E5z_^*xmZ)}BtiX(Zh>s`f?<<;96BrQK^cxRf070_6H;b`O`G%uC1(P{%@1k*KzY z{8NaE0 zmFr?BFW23C1_Nu$A-)uk(<`=W@h=pk^sg^*tgie7v?xgxg+$QCHBZ{q^J%I~tO>|I zF3zW>kTefLj&wdAlAJn`?QYx0Yw#g^y)MMF@h@xT3vKbG(=@)2CZE|WI#>4E1tR4d zdWSEa>~kFsU%-7{ZkR5lPA{SVR*!&Qmbi6@j{+w6NqRfBMC8i zW_y2o0(RzS7w&HY$3C`@kX8r5gS?Hj%Pgf;r&H;e?C!vB5bXPt#+cK;facdCn_4=W^UyHxs?axRfu4Af*pQNx z*rq(O{bcw+5k8O;+fRjU^{~wn8yY4yG<+J{#*DU&8Eu;j#?8&P^z^cg8Eu=Vn|ny= zZwOO@wq)FsS&+w8#sNzAE+mXfAlbxnUde6R=~%$oj82=x$5Q#8~R4O1{0 zKGdM$Lk$`})S%%*4Vn|!4E}Ho|HI9MxEYe^3MlwSa88BvXlWFS(8xyF)XFaKZB8H- zaSY(EPV`H!yc&vg4CR-HF6wlmfQR?tHaW_}lTHA(8ZSgOUX;d*!nJc;^kVAZJPCYw z3+YLhI3Qhn2QI9g!m&iOS|%K0Z*>CFo=-r@-v-`m5?@p8fU;f5)Og+v<|{Y=XSxfo zFQ^I5bYi6)#Cr^f5l?Nd8VZbLC2i=^v?_{MUzPsS>>e4GyvuW)MWOnqt)66~KQ9RB zYT7A0Hri(SX^n3i$sigVr2zy8mTOH2H!82xGa+Kf1esS_c_S?6)t(}+houjmIGxgX z^=X%s!ICMkxS)z&GF4?ZIc&TAa5m+nzJ#K;X_6EP(H7JHrziu+|P6OexAGcyMI8= z{br(v#7S~q>2iK9e7Fc7&T@V}JTN;vKsgUk&I4J_14#}zNzTrSQ=rd^F4X<*>aTwp$&7hII%U)*)#4D7c+#Z08!= zu?E6(JCED#W_LLLc3ZB|mXCzxd>oc-=Hofe`AAFT(*(HP-0p6Iqrz7@5h*78?W|C? zdy#|tkL_~e?()647xy1=0BmFfXzMtYe?eirg+$A_Q6j`iIJ&m`(S;Ic`=YrlS+<+& zX@WdT6Xw=VQ!cy|!?XjfXs44T@^jtxi*-hnM`9bq5~3aW2~lQ+h^X|5-VR$Pueip& z;#b1Qitw@Q6~7vG)WZ(*3N(B#w1a-IgMP5X?F5K+xSepi?{ITmHz({!`Ozq<8re&x zv-w_V2Q3=MK;7y@VYGu@w<8sKTo{M1cOauV1%tFv4V2yXs+=;e>_`yNdwrB0#$`K)W(PyD~t#5$CK;7y@0kn$% z?Me>Ig#nOvz+s&NKv6wBP&SW(m-;At0*IX?6c#%QzZE`NgimG^emm^0huww(8lte9 zDC{N*yE6*AGYY#i3cC{uMiGU`1`4fgqOhAN#4$jjI#DR>CJMU~3S1Z{cn45$3MfSN zfI{;qpx~o`0(O#6SmG%BPWV(2K9y1U-LR(~_81Ci7&B-XGkXZe9)htagRv)ru_uGE zCxKxU!H8^t(aL7b>>(I&48W*P6c~F5#-0QQ7X}#KftYa$U{E~-S#<(}ta$=(@d+q8 z+w2Etr}H({iaR8>Jvqni@$*W(_QpY^eexsHUa*L?8*JpmJ@yI?S@aZCaI{U!yhrRW% z*L(mCeE`|4qz!Hk9pXCLw1M|}5XeD`I1_ho$dC47w{zL5=lTiHxF z`-pEG19htt@#9+T(9VIRQ+Qx<3WtzUERCof(A`IK>`UgLo-l`Zz`>n@IpS{aB3T^; z8~CUbE&AO}j_M5*2m4qc?(={{>9o&ZyDsIR9z2N@h!~@~Y8;MNeEaaOipL>J+Oy*Wb1&lem{+?MULwDSZhJ&ohC>qWA|`bd|PJ(%D5;K8Qc(UxY+>hs(7h4XGXK|6fBpNQ} zIuZ`w4$`i#oY)02NRtk2SHnYlgbjsKe#xYWkV+?tHjy25Bv4+zFn{N<>N7J@mG?gBE!74q@edt^o=DFn9 z;Sm+k))4dF(P%pi$J?+Dzvy#>rXuFJ#LSX3JqtSyI4Yfz1m5R$-DfK>>EI6sO)URb zyc1x!B8di&^<^X+pJqe8Gf!-iN{z#I>Pep-egQCSg`>1!ZsR)z7l6yP4pw&G)n2oe zQ4P_(BvgrCLP>Si$eQLB0_Rc#N3S}3VS%IFWC;~;hW+)h-;xy?CMz^dR%m$Lwx0=UKNHgaoRIeC@@aoApZ2Hn$tc=AvJon+Z2leD zex|NC2C2)&beHeXy|_4w1F$=nO#RF;_tT^Hr-BKZ@!{S(P$sn*hAgl@Ic6I^uKu_| zI06^O8R(iL134uL(J><~a7+`+y1Qv(h~)jATzWZ39)J|?6#RHUQ^x*yTSrnZx!+u} z!4A3Pesf8!Z!Sreyk|d?ic3Ti=Ynvz*bynZTbl{mvfPbIXv=akZCR9-l*7W^;+ADG zx?6Yo6S-FwONXaJO;@V;zw8@I=GI6Acef zG{+%%gibm_CmqR7I+C4qBs=Lya#Ew{q>&9LZDkjzp-1SXaSVLWCvtgQCE?z7#AM;hpyVbDdcq&Z|_`{`uTHqqR|bcUt>_&a7X^t5nwhi1pHpOt6kIjxjp$ zlc}TP^7wqk<6xq)m;@j(jabq{KA9eN_^HbgWGiGHAB6-S$5Rwi;|Q{nMB$lc#F8R% z+z7HHvh;{Osf?uK+9@s8!!s5stBnLCJxb7~^sMu|CE%2%1ZmEN3YUe%Th$?EYU;oe zW~EKjhVT`$55>`LTeFmuKDhP$CN@94WXEa$NwUHp$K2ddHgTKfqFP#c^nNdVh%7# zA7GL`;7R(fIpKh(PO_0@4|t-!n;a%W-mx5@2OMzh;G+k$iSF`2_41O%die8{ZHE0) zJF%!eI*I+LiG>qhQ0yhE|*`FFgdW*-Fgs9VMOOcs5ylMlMaW-jA&q?xAa zTpFev^>~CUjKVAwB2ASpB*aX^;js2-&Pt=Qcz206x-CLrp;5Cr9@U&eiPTBJuSr1d zglUi`qaurwZ3(#CD8KRcUYs0MIZr@A);xi`kqK;L2#Gi~Quenoopt+og{9?j4K`}m zU=1!mpEstX_T$;3_WD9<>#sa;suHi3dGJTfn?vi_ufKsfmuO+j3QB@wEt;y@#49S z7yU-=MOroA;_rpE8TyH~y}y}zvj8EL&e7V~N_+jjxmy)4keqVsrJXaYb(g=T zx%|BX*U`->FC=U{y@;4_lpDyLqoyhSrZMf zBn~lM9%8yYl#j1No-UWp35P6Q;skMOf^(qLp{(v9PnVy{y?AVm1MrY%1Bg5qK`0*L zPmpi`EN09CS;{G`bqTEq>vx`jo!~NToe3+4Z7(s?-=fhO$uqlN|VlOQLr4Hx#c0SHMqxgFAFys4h zj_<=B-+Rc576D8fHvrT$wuGCLfhQb(xN5nmn;s7G=&cEr`26O3X2 z9O3due0gYl#H(XKcqBdf8bxi7u!1_`6%>-^5r3ljJ@wWQtdLd13DHiZ&4fv87L9iV zI+ZV-fx_gZ@9l(Rw(_d_OxJCH6;&{n3p5(Tx33!ybDz zir60|_D6v|_H~q(phpdH=yX(GK&L0)J?W@D3-~BteH4a}#CYX-ROVocI!Z&4FWD#| zk0yxYAjzh!(h_l9{$@=Qz{LRw_Lcy7jRW#O!#@?_pE4l-D~#2{m>qyf= z4QO}=iiUTfX!rsV4PPLR5y3GcI2I9{6UOYiTWo+emcbZvM@3>EGuUw47)5Nyxc@PC zRGf-qj!Z=cJN2Y~sxlmKjj`e$Gq@y^=+YDy7Dv9lSzn@|tdqyg`CQl;cF6h0%yT83 zZb*NhsqWGc&f>d1p>_E!0o8-2+fEC7RlwEZ9@VY%Xfd*qS4FL_X%=Z0KD{PIVmY@! z&DOjJR0g&i+k;`10j=RpTu0nmw$k8GJ?{G6aI|o)_8=F}=Ahq9-$Ql=&C#vDehZ+Y z5Du!DDuhzOT|}xWP{*!v$I4LSBZZDoCkY5wD%`SV92MAd4c{_6U+c99LKqV}q||0X z$R+L&8Ik)qXqqZ`xS|9#RY#h+1T9{*pLVC;F0Sez7rB`Ia7QvdAXsE{w4EnO?tx@q z6u|3%W=v3TbhOtCa}@VnL8X!>gI(id7Hl|;qjr`e3~ZfG9;A|>Kub>Fbzj~|*3KL$ zCn{;6KmT?~nomi5aTYZ|K^!$uWM9nA)kG?Xt1K8DD_$rxWn5Gegjj|k*<)MP!`_(P zCTOen{76wsgYrz>XnTf~sdTpcEM)*Y+X)7W0ScFHxTx?!+Peh$4}rw}OD*${r3qC9 zvQh;KoTgo%z%j?|EToe7DFkTPi zRuiFNO@xLu5gOJ+Xn2)6&hlrR<0&?853p5)~RU>5p z;&DEe_)J)v;@~6Raopx2SZp4Dt;Qu#t?3c~JS6bil50wk{0KMJ`%r_?II5OZMc4 z0$iwJo{WZ`jE0_!hMtUu)jb;iTN@2Jbb}7v$PV4e4&5+^##NM2bm#^hx{)2aVGd29 z=8g?Iw9kb5P#lo-4mc*~0tuVPYpx~<{N$lw@9i{MF;W!?CxJ+MSapr`C?B;)+IK!K zpp4?fag+8qB^vHYy&Pmt7%AHHF?&(ixSsltL!HvBA=BzX-=tA?kf8#q<| zQcKiq%D^EM$4ETKbeDgmUj8AS&0>AjX4q%hJ8kDuKsPUlRp0qV?M83I0prLcXq$k- z`QYYyG;f?AMMr3aWO|>`um>~TE*WJ=w%_U>=ba&i$4w$pI$rWpo5~9<$3votRTUW- z!Coa?Ls6IUE5e4ITAHAXZ$Wuk4c{{~_)BRR77q;@%BM)E!S4{-FQ%`-xp88_=TP4+ zGA4Y0FT%Y;o;qzKXS~c(@1;=ZyPEfJ04K#zn)7i-s8& z4KpqpW?VGPxD(8{6U?|1_`D0rZ^CjNjwGY#eqhnLCYVSk{8Ea&I@c%cT%WM|5GT`w zo$C{pzK|DHSpp7R6V6wKopsQj z?TR=}sM!Qs1&?A}hvX7lOG~O?@XcyTWo<1frST}{J3B_Waad7JNiAmDwJfQvU&h}(pRg>6cNR{eFmei+b3#vp z=9%+txE=~MT$F~3!d((nW9FT(QV9<$6FlbFi(gRj-mJPdr)Q)I-mki41)e@KjUAE2 z+KE0zGa_1J{9u(zw7ypCjp2AWQG^pYT2F>kv%@LI;3>x7sVIl!B{e8@%EL_q(q-xj z7k4_GDZ-g7?$K~|b~sCMXDRM%7I)UfolW8}Ac=dOi+e0QUWCW9xF-TmrE?T_j^fT` zapz3jxg-t)lDOMk+>_y{B0QDFJsl?NVbW3)8m1;ROigH*n$R#cq2X088ea9H;ZMn; zIf;BVNo^*n&7^AsubT9*M5Qrl8X;#G#iM?bK{x3^2NO-EM;^+6Z%;C@`AlRJii2-^ z2l5f;BK&L~|31VeP_5|_8j`?bsVXv+Mbnj)Xg#=GR9R0OQ3i@iUjs2?6pPBH4daM0 zb-T;YX)COgB6usMt6@;YfqbZQVcMbPyz63TW;5=o3ZCpI`7fP0Kob=Wgf7BpGCg8V z;_ebL>>Ws0P9d2_8=-o`D0vYQ#bi#5lPD`tbWK{aLmr&8T!pKPG%9tTjFkBRQW?ic zA*3>waUu@lYn~_ruP!E;`r3>?&fa-U98rlpO`hoaE%7zMG9CWvbJB7UzMPw64x03G zTpLa~a1xcGcB%SMyHqMe6+Foj5q#Um0t(cfhqhtEjZa6>HrkIDHt}nV=nR!eT1v?} z3OhR%ew5M;exiaG7naNM5a}-eRPeBPbyMMiE5ckEhQG^*y|<*H59Q9v&_C73Xu6{&iMe12`7&AiEtzZ_#zYMLpqFJ z?}yPd;n^ZQn-8Neh4b}r-VP%)JdDurFhau*Z_e|iInR^kJkUoJp7&dTMB#Y$KGe-d zvE0FMhUa-6D(C%xWUs2_^FSJB%lT9-pSNoHe5#g>>L3WG69kzA*&3%4MFMme3DAw| zAOYnKB}jKkfTNj~EM%xV%?-ceD3YkpxB|(=B=y4RJTj@ap;A$+i-N6O|NEjI#hGaW zG*cGE0YXvICJP|N%UjTOWu_LnLZdxocZTr$#fo|L9ThV5S z@knz)hykXJzzIfpD!Yry6aqOu4n(-g)n`;vYEBKc8~iPK?tpvlm&0>KcrJVHSHe_1 zOqu7Rq35EZ=b~X5g@$Dm8kSLLSVo~?8HI+w$dBd}e0+*NK1Cm&a>K8j6Q-mT@t;m{ z3M?_@4uN2vk|%eUf0=ucA*VcwuOVqqA&WUyHa;CGrnbZ;X@}=4$?t&=6sVzW4v+9D z<|7QJg`fbxF0{q@XXj3#+NW+X`V@=zDZfHvuMFcII9-i04W>aFcntJ&aq~wZ=NZrgn{;Ye~Ye+35)lW+Agishv z>EW{LR#Ggix?W342h|Fi0fiX>Ax!snhp8*(qr8l6TEPuZs~vHpKzeZ3vWh_2ZGK#p zWwfvAZyYJ@33_fGBRA?{fnDvDds1PJIdjSLI!m6BW?HVI znS9RzTE4+JEaXLs$glN$@rz&Nl8d+*i*{}1N^Qn=SCJtwW*|dTEMw?+v5cYF%or9| z${os=#+HI&qd@Z1atW2YlFHc_DfcodXWGtur4+%PS1UTN)@s+wIdtZBGCPWB0|*%;t{}BqwhPS5!%ra81F)_h}YZ<{1pD0(E>6ld|jr z0ss6mU~2}W4)bPd@t)+2qEMT)N#Uq%V$GLc`_$Ii;ozru>B5a-XSHIz#33ttUiE5g_2%zjA! zf3WN-XBFkFTK7Y`k>k{vn&nwDH@t??>CEml%n_JE+%6XAj z6Ymk{^_26Aa(?8^7H`6Nmz4{OazW&Ei+9|4FDVxl<)X+lT~0Xfit@^$yfX4kmy^zW zY5B6Ed|Bj~E~lJ#Rrw7?`3;e0x}0|2%gb*p%5RK3)8&lw{$2S^MfpvUSC^iTI`7|? zuPDk_L>`KBV13qkuPm=F%Bv&q67e2$-Zz)m6y-IMXM1?udDoV`McEs9wudL2x42wV zluIJd=Gi~dJ6J9)`--wJ@(i;lecpBD^+kDov?_g)9fSCz|(a#`frdQbbj8_FAt z^2W%sdG>Ee4wg5S%ZqY(A5duJZ1pygTyeC%*ln@L>7oa#c~TihRSJ^6i&`2g`fPdyDek$T#fC zx8IB%EWfo}U6iXM-|#2je#&&P{I>EfMfsM<&+_djJO|5fFV__1n#j-c7yJIcqkL;o zzBTf*{3XtRTe-F<*G9hCnCmZf{@crU6y-Z2{|croOEveEwQ_b*&dw>TyQ~*wz1H1O z%9=Ho^s!mzNZ{4%E4w{OljX+ZYatP&Agb>zOQ^&QNF90 k7gO4O<;J4i*vyM5?fc7j7v;N~c`>E^K)I%fqqZ@8>gS1KPjEg6biYmxt`2Gw#!f8cX#I6Y&z39kjwY_W2(~We8-k-=YXHA zPN)0&bK6kPAB*>Ev)R5}?E6uN?3f9F zI?$i#EuaxV9W!x4I^Cb`>&bKiz?ezNbb9NKG2^BHPJe%9M>^Bh)t@aC662AwsVCo& z=}G6iy9?QYL=_rP=%laB^>(E@^Mkzu+5Utl@0GtBlN>v4d{uSLgo%?TPdV)HBaS?3 z>e0s>JMFmRPndqBh@0zv9ZPu1;T*>FDgrc5m98+j4Es*4}*I zb^V2b!EM{G-|?!QH|)Ccred)uin4ff@s{GNi?yNVwz-d((> z__5;0i=QZdviPaur;DE{ezy3z;^&KBD1Nc{rQ(;1UnzdI__gBKi{B`Iv-qvzw~OB? zez*9&;`fU`DE_ecqvDT?KPmpS__N~Ai@zxTviPgwuZ#BaDp$BK^^pC~?Ae5&|#@tNYY#pjC87hfp8SbVAYa`7LUbiNi>TVafxUZZK7SQ7aPQ-VxzcBTrRE7s}iyh)sVyC!4>=HMM zn?z9vA;rz&7V&CvtGG?vE?y&ED_$q=5U&?+5N{N35^ol75pNZ56K@xHig$>2ig$^3 zi}#54iuZ~4iw}qoiVukoi;sxA#7D*5;vVra@p179@k#M1@oDiH@mcXX@pK6j_?`H@_=EVP_z&?X@t@+);=jaS#D9ywivJOR6Mq*&;y$rk+%NWsy<(r(FAj(Y z#6j_(ct|`f9ubd<$He2}3Gt+ON<1x|5zmU}#Pi|>@uGN1ye$49{wY1_%Y+;wlX9#a zC&$YwSuJbi1UXSol9S~Wd6+z09wCpEN6D%3XnBl0R!)=0$>Ze-a=JWGo+MLphMXx+ zmZ!*5|?uy>*X4`R$eF@RA zDc>dEE#D*GE8i#IFFznZC_f}WEI%Uek{^|K%X{R<_VLimJa62Lt+`&j?)JP1GX{Gz{X5j}`zdQ6w>4Yv_ITd- z8C|(;xvs3Ym)^JLy9Rsm-ae|*ksH{aD`YdhUEY4rt1-Xx{oVm5yEi}JJwU$;+3NH{K4^j&-5?%SN-a~{$VIbe1^&a-TNi+KTv$@{R{_IwO@E-BJ z$us)$g@GXHQC+AjYYIJP3I$1zQvG7VX z(mnb7wS#@$Gt>k1-mzmK>pjcBwTWY!~=iRjI^|``8!F$22 zx-HW)nDt(yHn--tWxbb}1X+H6nMlyNIoH$W{ezLE*Zyp;_szh@9jwq^%1ojow`oHWz(k~4@O1mI-)LA;|Mr+D6&8DJc}pGxo9>-c>Z zz4vDM{WN;tq$=0)yGox;?>#Dg4!^7PxrAZ9J7|6$W#$z6^Qmt@MgKhA^Cr&7^sq<_ zwYd&g0iDWRa!(pxj4f(laSz_y*-?;n8?Bj zotrbN!)Fn3ISGyh7do5JQMCrQc4Sqtb4Ua@v1@z2U(xMcTd*(y6cs1VBg%HKojp%%B#HiwI7Cc3`kyNx;PfXUpJLgk*S&WMg_a61jJTMxTyKy`S~Q0|nO0oBvcC)c8BPUwI{ zZ8c>(!M`-Cf0fw<+|z}@4ksxKEYjVBy*zP1-K6J_@y7a-TQZ#lGc~ZIFI!kL&aZ0e z&urS7DJ&W9PiX1K%!7t}VM$eD2uNr8q4Ea6=D~hg3Ye)0t6?(on+CHNLporqYC7|n zV01z@3TQ70q#4N?s$F$ybL;B1hRf@zVzOy{VO*QJ&@#vbuF#UE9+J^)~7erHLkBGn|I{8x{c|U=C+3Rh7I-UruFMq*0+-VJ91q^ zQ@W{sZJnDAJ2yRBxt7y`14t{){$|95c595@`_T z`%llEJ#d(90CJN}W5P#pGQFKyH8DdY3zpU#KKcfZ@LR4(nHKAS0Zl%a)>Oy#XP|$O z@+zTn)zX?HM~}@>{@DRGtFtsiu7r=KFWQ_s`ZkaD=d9AaPpxjKYiwS-zCKMd*+}x( zwtnSB^{d+3Kj4-=u%i+Bply%`NF#hE@U#Re;Iu$V7sz}3=o>%57gq(X*L8J8+D^e> zrgEwLA|L_TPSxg?FIbXWR-PJ5a&za-PVKCzuFfw4f^mrF0INFJovNi0%c+IgDP>&oka))>V(uX-xwj^;6>{QKfS)N<6rIK)LfpAdiSXyr> z$Db`c#Hx42v#5M$EfY=}Jrh#CI5A*Cl>9vyo+(UrQY6zv(OODbz8v(s;f7T0%ARXC z&O|8zIiy8r> zbX#_yktbf<&O9nYa&09em0O0SB`F%B;DS@LWpJQjAiGu9%gtRlFMb3OI3*Y<>gduM zXrCFQC+Kr+kRns4Rw_G33{bgR4_cu2a?;e zrl|r0$_%PhIpiAJ>(`}M*0t5o%@KZa)d^oEKXJL`v9v?w+9@)xlW?)SYtI}<%t=g`R*`&;LGfMIBAT=Hp)u`*l+>}-lvM4_D4RO)wkEJu4}JTHfy$j)+?F5lMEJU?movq**4Hx-&nt)u4z?$82ZAcHFHM^ zeV#wVLdT-Y+Sa;tVc3{Z%^xM~)BRH{?DmHG)#4u*FJ4-+aFoy&`Nhu!P6BabZFZoo6Mh1QMXCe8yD|eA?I2)Grd$Qb zzwK+OKypXV8f8b%_GLr3qpjHMf&#{30!@$T4|qqeY0h%kxFnS;MN9Ahk_nd) zhG9e}hy2$1(qVy)=qVd{a!N@X2Y0#cHY6>Fl8m~|b=+UG;6W2Xp59esvW5!KyUuj& zl}z;5gR)Jzh}7oh#&v`(2YCp#((+VGW8G!-t?5J<^H@9)uN{-sB4Lmsx7Nv zW`oOdl+{gJ5S8ev>0*hhf7?!A;(gvINwvfmFNaAL%z=nd1Nrp!&H1fXR4{67v#*GD z#))Ej59bi+#q(?z&)IkZ1)@|tTs63PgZ;GkXq>+h4&&+y}>&W%w26ohH4v`nhvuY+8Qya$6P#sD}X%OYJnRE!w(oqV| zGJmGtPoY@3!hqTE$abZPD$oHi!OKSpe1%`!8<9#g9V4N{x`CnmD;&Xw9;W&*`W+30 z)O8u>QzOxhl{h^#Yw=ziOBhmhnxCNl`J*Jz1^)Uleay@U(XA~pX0N-)F}XwSoU zidoSEUcjerl=!Uli+_$ss69^{>!ZDs|1-A5x%6dtMu;+tw4{J0t42wa)g?4phgC1b z2cn9V!tP#;&PbiyLzLrT2*T29d}X`$H7~`^49iLVC~30BPnn%HH78$}>&@AXwFRUz z){YYNh5oC;5?DveGel94ZWv9ZX3k61A`qc>!0?}x zM-$?fX9J~qshL;L1QxI&m-ZtvVK{?q?fASD@2bUWL@fPxQIp*|*ju~c--pGBxk4cX zseJdaoJk?*Jlm!D1HE!IpADE%X z%*x@s@j~JC*f36AzpP6y$fXN?_!+Z$--b}VYpG=n(CdkzU^id{E8~s>vu>2#Z1Q&m zy>v!iL-+b#E4NBAiB(1_O6fsj69^s9G({btDC4Tn=27C@;tyVtYN>Bs)7-i)-P}^& zM5{5pl&BYa!GYzehF(MwWqR6j1A~0R07PsKqD5WdEu_zX`rp8zV2d7Ojif6Q}hqwxwK~eC>z+|G|U3wCA`Z<*}&y)1MQa~o-0ZqA`w>ct{7zlS2_)> zt8b$90A3_?*|0oy7W{@$HgL7mKppREw3YN>dFt$?HR(|{aE;Tzn#Q`drQnG~=Pa$s zjIx0azt*nOHr2JZ@}fzansPhBg4#LCCc4}vcxh&3U1LlW5Y_A`o9OmWv%M%cvsI&Q z(=%C(C}kSuXm9{w+^Mdf)Br*_u{PA34J``y==~~Q6z+*E3O6=1)wczU!UO@T z&%gk#k!2g!D^QnRJt$^&-dE-OFv~_&1X1YLNux%`DyvL2?^5l+1m{Lchb?~b_hC9T zQJ5pnq!A$u7S;xjj0R@TV5$$(efGUfS#&r$FktdiWn~!?VZDd#Sn6v>NsS)A_=gBJ z^1a)#{WuEu|1)xw8+Wnf*g8sb^!mkn!{o4$2rfA=-TwCq2rrH}0uo*vvD#lF;Vh1H z_p%!vtb*i6Nr^uH#Ar;fUIatzHbo>|H%h?${;R(hkhl%I%AEtyM3A`x!d7rlB~{=w zIIt>`rPl~#uqbS{II!W-LF;3MP8{G#A+n{QU$0l`d}RmWVb*&ok80!N(t!DQ14btgI8j;HPxuu(~?a9Uy@TN^C$lNPMD zs*@*)wXr~Sj1`A5UEI7Oy=Gpjnd5gU432;}F(2+GLa^OY1}sn6c%vR6H{ctc`3Uwe z^dX$o#f#D^$cWHoRcGjr2pJvs!}Ckf&TM{QRhde=*fjJ)AXKBZLON`xF&l2fQYEdEn5!I zDhQDGh8!sjqsbA0u}IEK<>nz|ODkl>e@DtG>{qRrw9x>tqsR~y>(-&6R050o@4#E( zj3yKPph=)f%@P=^3CzacZQ+gCzwj}2n$oElX2B_S9MCDs#O8^0j^g9~ zMch1O7AgQEq2%@R=PQO#VV>yQU}LkL!TCg3`aet*r04|8dH7s~QR@5w|K>M4IzJ?= z2APPg24e5%bs$W=2}^aLa+`axxPgTzjq*GUTsWwKfP&A?kGZLZnAa!~G2@8$e}Zrz zrL64sk2`)U!9CZ2)rgSRH!bk;OJk-mz;T;B`OZO{ae)iyYVu*w#(Yv?ZNOW_doJx1 z74q0CarznQG@UR|RMhqrO1T8NHkJ3v@S?%)SQg^c|5F?pRj2T3S_SgHjVx7+jyk16Z#N0FD->1~QBx!i!W|(2&KHINHY>Ezu)XER zzSd$Mi4m{QCg4Xnn#xX`^`x<;S}(@OtoTz|70TFykZBdrjGcQOH66EUAF2^-+AD-$ z`fQ_Sb^)bWX{KINJ<_>Iiug?1TkPA>M1&eO+XX?xT+FmyZt`1xwr8nL+MG|~83H;m zOV=xvl`yPyR9<)j!g0B@2m-`Pfv9z6*9?H=EZ@fFP?v3N!3{ScHwbJG50%;q#wCt$ zFw!v{EwgT_ZK5l%BkFP)aPt%!V>=!i6(jGx<^()k2T8YqvNQ+==5o7S18$YBUM{r+ zvsGrZklLTMXIk6t2&*$ljGnMoyR40XH0mxvBuc7PR^h1YQi1SZ&6i=cWN?DHKhw9l zC659;v!~Hxz-#RV9aKk0L@8pW3wojqY2D!wS*vzB4~aEHwJZ|lcPK;J$LcG)J);zz zCBKqVv}jXm7g_Y#(+%a}u$1l^<*DJ|sNs+_#4eVjR)KFAa3}Bq1gO=WXt0^5wo9yy zC_Su+>Az9_jHRCYFL8_l^NO5krJ`W4c8t{vU5KGbEMQ<(NS4;@93|`<{8{B- zhXr!iC>yxZ9^CHIGu5<3egJoAK=R?E+%!t)#iG9|u;r^1RT^Q-^(Y0uwJTBDx^i&Y z5h{w&wlDp4vF*FVy#f+p8bd~kH;)#RTinCVE+vS{6;LRsd}{mEqiy?EUrr3jP^y&3 zv(f`Mq~}sN!H+B4fL%HEgp_JYA(wn5Dl`VB7C74~sZzb+%bRNYhz}Va_-?$g(3@vJ zkW{N#Rj{X}R%SQldadG(0fYl69PgwYgf;p8VGC=&uDZ5$uqWGrD}mPF7+kiimSQfg zR<5q^?HU#mhzjjfLNdb!>!idHKSI}FJ**U5FoqpXSG;Ysl61R&qTK~+zpMq@sjI?! z*~QoR=e&|rD62N-b2xTL=TM5Tb#@}d>*;PIP)EhrjlPjPobxD%;%>*DZMYMdeivUq zdiZbfr&$Wst*yh|AgkNz8;5Ie4Ur15t7dAK0Q61F)A^zskH}Dq! zc-w%9ve1yR0bhLU=pnz&Kh{ESYj18b2xDemeEaCZ-svA_!PYmeW(}bzf$jFJ5&ifsaX~!ix>#^ysdw@GgiXRv~+KqWgk7t-kb=;$He?I>Oz{FgSg1;A;5-ZOgOAM?dg zfq$S>avN4XcfgR*`bk%3s&jKF@SxK@jn)}iKT&FlLl5*~MzyLAEf`tJ(CQzv!>&$P zIx_gGC{jx7%kq>v%y9=x%;3!TV}cMjsDk1#Lt!>5#q8M^KR$Yze!?&QE=tpO#8E)3 zRZK^xis=|7Vcl4Zky1A%ro$4u)D$}Fd^V(`_{q@|B)xebwtF}WaHDL@8f4eCmoe=w`R6GJU=9MA3{${`Kvnn+0l3QbH2z$8M+3$dm)X% zcfIM6T?;+p9Af>~sSUbM4!Wg0TUoKFQp;0yG2RojgX65>SKz1MhwBbOQ=|f2!Uie$ z=~~wy)hHY)9IkG1oOBWCPwi}wPjNoA74vLrI?xG z7e`NxFZnnBJrLV9`5uTYiS4>fKV8dm=(euh;Q;Ne^SuY1&SrsKd>g42GfQNakP$Ve zR>=Z+UIL-x5fDBHL9y$LP&O4Dg~)8eEsqGIPtks}T_mleR9-I&tYozvAR~v4Ydrf> znSmuKG_YE)HnsQTMpRzBMFZhx;eqt&R>?0I9{FzU{-mgp;aV`J-Z%<0Fz3a16E@9^ z(@;T^=nUSG(*nm8NKXz=jV|2F)ZLTW1d%j$(DIy4Y?b)~q2@0KC@qSz%yCMkAgeJ`R%dicU;gn)MPf&s1d zkeu2zm(WUX@ynxE4_|TS2E16ldR6o4`UZ5H<_2GVW%qdKOAgfX#@GB4?3~8T+zo7+ z9en)|V1L7z9;~ik)zC)w-&o9PdhpFdfc`B9^OcQtO&2TBtJ^hIzI_O=zvEzT=a57e zhE8<(?jb<`o`0&Pc}rbu8}0~M)x2(9UDIl(3q+ppA3`HPaAtjL8k;Y5K+_V(4-WzK zk9_&lz*y1#B>9q1$F#wb+DNC71~#S!aK=<$r_tAm6D#47I`36u! zW1isFR2!}ZTfd|%5g4fzhdz`CrmE;gm$l1NwYoay%6*-)&seyC<_G%f4X30-4kwii zg6gme2MA!*_I2W#Ny^_ytJ+4}GZOeSmk#{EpKzqC3@W6PHMeN{;>U+D4u0Z`?qD1c zk(%+D8CX!-Od@GDlnl#15M?nS$qM2<%H5u0HWWGl-fSiqxc^HW3Q;vAh&CuP$|z#S zsCZ+#OWV%6yTqoS9s-+w=HGI9z^2u?0=_tq-HO|Xm_?l_2xsT!R9CidU^DL0FtnvF zCEx=DuZ&r0DvvtKdo6!#*A|(Xs->V)5(wjck|5cc5Dm83#_`CQD;MBo@P?9hlJR+r zAjdS0W5aQf&1v@#;C_U&&@F8dxH)VXn~Y(=26vPIuyaqN#xP@}Bco09x>+%e#Dq76 zZfdjP0s)z9QlzcH;1nrQMxz#xX}G3>xG2jJn-pmZ;YRbFyiqh3zJX5T>Lf-Q9PAC{ z*#>7X;p4|fs)x}SB!;@EQqVkxNrM{|9t?D7cMg1@9E_hb>J|qUcwj}dPgRBi_fV^G z7Ot^5@; z&i9Ut=KpmF?f)hCqyj7zmQ!rB;>gvSD3<7Qh*hsLr?+~!q?F;8#NFDs8SkOp!LgrG9+A*~OJoYN$oix{S zTviqx%|1;0aDxv|Y^a!Buq`d~%FZkr_c#hC=Gda0du#h=&MyKTz1da{7{S!FZXDaB#~9iOxf&Q&~8&D!8P>bSbWwXCBXYQ-R-{K1hd9{|~59NN->5$JU4 z6YX#;m`%M7*fa-TQwXte75H;ksh%3f&P9bj?AOdBFK%Wd{xDW}KO+hXR+O6MvP^6{ zBFnhS&U7D*D0%{d>yu-QCPTesFQd?$+oeW3D-WJU% zEq1$9WkQGk*Qr;j8<&C`>UH`R1~Kf%;5MUFmcHhr3$xq5_Yem0KL6$q1%sGw%%bIm zt#Dy5cF|t{K$><;zyXryF1^!)U~H0yN-iCV3a^Spu*U}(`@6A4xCF+> z4#S8r=biZBw}%b4Tg+B@iL8fIjEfLic(#wJon&GRB-Tr;LZXEOJ7221B4t4VT*p8k z6Qwsunp*35@DS+yQ0QVliVeV(fBC^pn}?ycDuks1qj*Z42BX&^otUi~KwYy3Vu%zX zw`#%pL>n8phYz8zkNCH)4P**eF&f{13|tS)Ksw^2>SZ9kvfhg@!?fdCqza_|wMbG| zlqU+#uqZnAQ7L`9v|f!Orj!mtK-J(Il6nb79~f5Q8>)(~`g> z1a&rm%0tZQD?pK*bV|U}i5oelQDs$W+y!8jBsoy4s||y36$|mLI=}$%trHOG8Sv2c z=x`!&ZB*`g1S-3Lt|Ud*mi4CNFpjzrPxL6_<1(_ukWeGa5L?2=(1-<>SG$+lV$65t z*F?KfiQtM_L@;6|{^$)(JzmTrJ%j_x@U)f=*P3Slm>`8~5K=)06}M@YN2SyaHS8p@ z{DlfK*xA^s4zapSS1dT7tjG{&u#stebX;-U5G>EDO)ymzpp*sBUOOp{RIWf19f)3N z-;0Dx%>Z=S+2tJov<;PyzxXfIqqxDrG>4d@tXY|NWI<^vTslObb>Uzc4zVipX;*zC zjcv64dfbUWkflZYS}lWWQe&3VLoh?ARy3Q=SOb}(&6%pJpnnXc68`Si`^P{0SWm`&E^K6(gt=rLc83G9$VO}>gj z+aaT8)LcDkd%#&1(o!R1>~Wy(LJTOU=m5~P7^q%t2U?VN3D0QDTY{L?1&s_3NR7I| zszqJyz`}%MgGw@^-6d%bRGfx3cahSgWOt$!log7mrcOc6=VE7oP9hNw_QeM^}dik(S1 zI+#wXn$Q6(AMiJ{)yURUIt5(Q1FT;}VO3{p#%rw$U0wo&6|sXht?4L)A&WRFs;@0q zZa8HStVl87dDJg zw&Xgmt!o@3Yi`0G;PKrKo*%5WW#h7mZTqY zo>eVUJ5)11K9lXeol3glm7G(VJbdr|NXTO_iyj9sxP@)+U??KFYoN=l0jg>4lZT)# zpYo-%^wAh7Di(5MT=YZ_orkXEt4`hVZ`Xnx3HVppO!htvwb<}fFw`*yR{PN4H$%c7 z$}H|;%+j?7`QD`|bBCCb6!k3%m8(a+b3PU)0O%jB8a{gn_&n$0 zLsK5CSr~+L$1C6yIc5x;2%qN<0iPFKeCp_Qios?i^a&0oYw|EYFCGFuFZs7T95Aeu zCA4?4DVu6is%CqpKwepnzFgF~Igc+87Y6(K`tgbAG(J(C?cWXsj$y)HO9k&9lI9pW z))-0vc)6u3sLA`g)lDVVPVaP)$dZ|$_E3mDEaRhAa?Fem1kb4(4rW*RX)9vfYfK27 zx=^&qxg55#q2bSvEA3=NO!cxIM-yq>62{?k+j{+}gr-!I=SD_BDdJ-)fGN2(gw8gA zy8kWQY}kqi+RVND&A?~0!_{4^Stzs@T8$%WBnd7u)vS6KkX)ou~#C;X59 zScGD?9Z-ZaxzQ3wSY0xT2{V=}YFpf|_9)V;=sK=TJF*DrQu2-B{DQ=g|Gkqs68PEh z*HsI2j-o-3uP7P3SP#U{%mcQdSySH5QV9=6FodDI_--b#q`hB0 z1a0{bUwl2#mSIAYVl*BVY%FH1pwTNo_sQ<5ffLLGMz@;5w%*W->07}wqdB&-*lD~k z$8AChK2k#GeZqbd+#7eD6BjG;c@dPO2?I~x>8d^B*{P<2-v&kg(v|s9Q63zuC=yz& zjlgZB+va%cV4!FzAw zY5oeF4Gec`{tAbdC({Kf-H~1)_-1F&Pr{D{h33afTJW}DX-H<^%}_h6Ww&Q2st=t$ zZT@Mr0(9Cb>ajpQ7OKZ0^*B>K7N177tEu!^D&=hTI7dCsRgd#do6Y663~ud9wPpwU zcSKr+grQZ!U!#m|3rIRP+#&Kl6rt6N;qNH+66Yn#!Ol z9xSM&x;>u~F(srMeyB&h98^+d!D%Yj8Fpsr-z;L$u+$c+x_Z%)6kjXql&8V8@SNGH z2>R943m2#zhU#jFENzyiYA=<})<<7F0DKNbt(V2_R ziLK7{K~;PPi%aZex)=l$o6r@S^(s28NX2zaBW%jg4^=of4sY_z_@8;&A*_;P`o9oc znWYjWRmB5{DHHCJLYg>A~$hB$k1ht%wth0khZhjx%6lviCWd z)b(JIAg0WIrym^jwhd7W1;XHf=N)5Xuva&<*7GOg(yf&D0Oe^nUi*~%HBibs=y}s3 zc`a(=igF+HyyM;6*6ekI0MunD^H3z;{lYxee>eg?II>8&k9gj(E_A!VMVXIAkT!=X zDetieSd-VDr`*RQNV#7(rTiy6@2F6-^od-`c+&HZHW_-CkH|$?PdOq$Y2lB7Qi-QM z@8p=up$}1FFNH<7YHNkIfo#WWj=;RdCxnPP7=x-U7D4u86aa$k0G!*`}r?mwKqIGd)F_0J0+><&rYdvMi#zot~m z^JW^dI;Gr*Pg8V;!*{1>8(n>B%FJ{c)i`0M%Bli4$C^?nd)^7QQNCvcac1TgJX7eD zl0we4Zl=(w&VW^`5wxUYa%Z_1b34I$jVW`Q!#xE-->qc|)H)ng1wsraQqOkUiSBF| zFmoI*k^3b~k-1J08U)S3(R)*5p2Kq|*4<>!_q-W~uuirUmSRet?owCX7p*#HR1fv* z42QbG84d$tf#*#zjnO32q%ZWm$u>RTXOb6r-eD%0!#7RFnGPI^H#F&s9XObAn&h(_ z5;&m}Ci`rMh&tQsf}0}eI1s42s^hHYsn_Q^iojiMH`UK`Bqp@_Z3--L;5*CFCVQzf zMoJflO{rzh*bgs?m=epKW}L}0WqV>wa*`(!)!l==yi-sRNiyC61GI@o$+1Z>#uLdn zyDr92rDM~5Jshg6&eWyxZ8^5^Su*=4sLUQHO#0*w=Hb}9?98e;I-rkFim?eXuB2g6 zl^9CI;B#NXJHkjw;7NG96M@Evb0fU_6M^wB^&z}HiNM8(bs)UGiNJ_QJOgi^(|Vli z;O%#?4*Ul1KmwM~Tm$1c4c-Hk5#up<2NQvb)UJef7rX}(VQcRC3f@DJOxIEH9*zJF zyaex&MBunldsel1k48{5K7jXF1e(cc&wGzYuy8#C?}>zWxZCGCatpjC6M;wR_ypcl z4nIpB0`KWW;1D|1Lf(M)3^0v%1-xgSW*tAkd(P>#>jZeuJA?{(0Nx9coPdNcIwBRZ z{oYFs6~l(#d)dhfTYc{z4%)iggp9KH4#iyatn57(NhJbj+$rK3doDM_;iFTIEj<^Q z>9nQsaLhaxIXMwHzDmJ5Hl9nIQc}V(@Lb|lXILp1WZgMymWyJKVr){f1blh$5M0N{DgO+87WSlW5v1H=`Q`E zCY(#0;m|Lz-<-7|;Y~Dckn!fkg$ZwhP0aUk+MSVJyaj{b!2Ak8) za{B35YtB2{p;5$CbN)F_UB^yy-nq^|b&WJdq4-|8XGp$r`y)^CrR75$oZ@#Gd=lDgW2|cU#_zypYP$E z3qY;94RsBTbt@Yh8xT{pdZqa!0O!|%BCYj}^&9w3h)Cv2NJ2|%^V-(Bb|BH#1jjEm93*w5f_ZX<9h{bUkntpmj97pXa;2}7^!XQ{bFGnFX! z?Jh3S0u@v4o4Z`#l7u%Ua^oncx0Vpsezt-0+t8uX+aEcrJ>k^^_my(eddO?cO}(7A z0i)a)P`Z+n6E978!KI{}vJvCnxiOs6E&~#!*M@V}2IBV|lS9dUSdN(;Nv9~93_9pb)4b0=j&G1btowemcImh?1TY#Li zg%H=CDCe}S;`D2sq0Q+S-<;6{#)c{&w|{`Mwn8vNS!Uv;VfH$zkzuNhQ?8qL^f~7# zt6bpBKF1o{iuz^?E^wXW-rE8tZcV>mVJ>q-m!?86zadAU;14s!Il3oy>O*x0eD7#A zJ+h}%aZ>N%TyK|mSTu#o5BlC=s(cW3=G6r6RA!s+9TzQ=wy~(*Q9hRLik?K*|Z7j3)FWoF(4=LeM% zmaCEPHjwLB@Q#X0rFzoh+h;zB=~dfTDsi(dVIv^DYWqqhZm~S!$%g&Rs5d$Mo65f0 z_l{Te>A}iBosTrlraOSCH#IhyYTjyF3Ewa6O%DI2vbWh*LeWM<3yL-Jrp6{y&D(7& z;UE!ja`-oueT|hb<>>K}{i@BhtAdFtZeZ>laFVFTYc1~^da?DL>1oRi406bTcUUxq z%D>L{rYdT+A?l!AUEI~s)X?Tli6l_zJ1jn~aFh9o$U7%djn|ix8k!T9N)4w{y*HGM z>s9%_9l^M!UsUdmmJiM_fd^v3^bEAc#myU_X{JOHsPtQ{=#h;58 zrSVPR-c4SWd87Qd+x|0nd>02^H|7&M4A`3*n@lzDw48B@*ps;-Ep1b&-aF#7v_6Rt z($YGO>b%qUCaGTNZ%ljR^$X>`%TnQD^G$58+P+eWcUxZ3cn>~Atj2%vo65e&jsUCc z*gpbk1MR0%sQh~^9pX+a&>GmbTvxUw^l?wB_dee{QT54+SZDZCkKVE6a;X0MeedKl z^>fZwR=w#ZK-_GC^Z{%CON#PQ5AW#sbgKJ7-d+fNda%bcF;f@-+YtyLC$L#1fSNnTa=8f_{Zs}48UA*s2 z4*#aIpRk%BWO_)W##khiOf^60d(*WH#7EA0$AmyCVh~WO{V9v1qepO-Nc&4lBjGod z{j}BU?pb?pqWg==ea81v3ZwF8tGwgN=Mjw0S~+nJIC&GCA5`jd)*n+xm%MT6NqL{Q z*t!9EnAthuc~tHTmWw7l&#N+Tl>bFbp>W6=wxB{GY*hA3*20zqv3ZA=BvFkoTivRI z+`RGng>t`Q`==t`;BRsS9OZo#(d-T5Pl7MSG$;S)CMBHJy2U z#+2?|EQlJ~IkFQ)XD7!K6L`a^CO%+9Osu@+8n#1w9R z@^Dcp;xGb+9gFB%oF@)XiYW#-2@{1^FrqWs>B4%Q7pPCd$Cd5${5EDle<`${=-7-%c!^j^!Y*>yswiJJV z%V^P`mK4V%#IcpJ6UR{x>{3K@H_sEtGw#P(B1B4H2UVOvSSQ)d1=+{+WP6G5AV26{ zZc-1Lm(Jh!#xerINR_7Xh(pr5u8!U}vvp5QPm1Fc;)HTN6em*ut$4=nSbE|lCc=q! z9K;nPN~HdUl!#kS04ZWu4?u%T0*-*J7y(BpR{R2kCuStYNePjvNER`ZC}&j*uOM*< z7iWn%(->TX|Fs9X>TFPELqZVyGcz5t-t*wmoqg6KYnu za5+&+q#}ACe4u=60RjhO7Hly3Dn}d)L*sH}1ck$71YOIJ5d_A(Ma4o8rOf^}(KnWo zpm52e5(59U7O~E&Sw=$4pYFJ`NJNo-R&vW2w%JK>T0+#uvPH~M9CPr-6q46B!0EZn zu{l{Jq&PDn7MG>BIJ<-$Ar0(_bC?}xhuGm3p>cAq zBV3l$hc-;&Nw>EWZAx^RC(cWXa}wg*Q1@Nr#gdY7<=7ZcEalEG35~0u0Cjzt+x3Bb zdi&=5RuaZQU08{;X7caZkV#bxCo4LR=8vEwPfi9MbmIqIzN#_jqNa&5S2!r zMBFs4J}Fiu#Om0l#TvpY#3cu!C)P4vYeEAeC_tE9XfcC^?xP?MFoSr4aS#lOVceBU zJOSO~<2^jlkQ8eZ;=(fMi;JjlAt4F{>UrW~?%hQpUFQ~|{xv%N3;JXFcu1la-!1ev zmW}I@;^Ks8EZZN^MBRS0M%#~) z?K+PXwp+;+Uvj2xoErtId18H1v?WA)95=)U;*(t~AbNyPr=a?!%&rY~S)#NMac!f+ zHUIkIxD+${0gJ30ahLV+9<=<1J&|UtuP%S`M_l9Js>LkHDyo}9fY^7N;?Dk$Pqf<$w+tDLGI*|xO6FuduZJ+Ie;re*__kLF;BmMQjGVc z_KVA!b84dC?Zq008p>vml{bJ%!oe9wu*9*~2{jF5Cfg_6r@n zmOHq`YMM|!^|0rEuZLxW4Lz|nDXvY3p7?Hv-jXg_pAucna~FFz= zgf!xF1~H_bxGpL33DFnol0{4OQ$XJZ{hhbOxpNXcmWuIbna7I*e(;(7TPJo(NAs3?eJI8 z894V@I=#nnPrW{CN~`z`9P`x5l4sl;yQQKraL!X(eH(5;2RtiH_Hnv18j68~oVpjz zjNXa9z){Xpi0}>6Jyvv_vvffK*>tHRo#(V#H*)&I6N5=nNQi-Q;v=?^aFwsVon>pA zof?GUlW1K}qGcrp+I?8@a)kvtE)wst*FlFToc7D4HYV z29MBW+NuQ$t4$9PPwYsF?Fn&x8A^#)g~%Ae+Y>vPjIXj}3>P3W-auqbcH_ECvXF@Z z%=E&;(uz6N4FXxn(=9hW-<1?Q6XFJobGTJ;BjIfAp!T9j=|fT8#0cMLt2()aZLy-7 z1mYP!sE4AoYGFjy=z9>2n&^3sD4FI%CHOy6*f zyu#@SoFX6B+_43_`WzXM0%B%b6|<*J(E&InZY_}~L{i+85XHDLBP5L`3vQJP@FKAZ zbjZy-sH7!VX(1Y0w-BJ=0>(V?>ZG_iA#SPAqPUeH*)370HQwz*;K?AO8!*^!W4vx1 z!O?kfJAoWgG@2(~lN7fl#O=c&AYMx_t=Ktesv~-ycpc;STDyW1EkabggMjGWH0vmI znlL*$)sK$8J}F+85OBGA7C8wCcme7GAkU#FQ5QrXoPzdC%bV$e(Z%>N1CdAvy(?i@DLofIA zsVCmS^tv-bFQ*LA>zxk0>=fM)4y36-IMAgs;XtG^lk~XLU7mPXQoJJ}-Wln%gRpov zb=q#{D+cj_T2H)(d;4xXoeDCko9}hHX?llQdWGJNN-R9_zNC0hLcBKyNAZ3_K2Sr^ zBfp;b0C(a2fy#>%p^kj;-_ntIetak?K9CR}EQ_-EF!d-xadSr56CdI3d^l1)xSK@W z71tM9DWW6Nhc0_1gBF7{_Mjj}se7gngVQ-4NQ=3l6NFHHp`j0*7dQ0bX~qtH@-{1+ z5A?)Glj0)@aaTN9#oa`5>nSQBx2p!wwD&O4@3xvYQi4S2WAS9?ot=t9Vk84T;wlH{ zIz936q_`&`K2{ES@rls*C^@t4iBEFhK4Hg4v?KaUVCJGb8V**cYqG)v4%3#X^R0Ic zKAjYwOo&fe4HFdz@tM$Qp}7;SU1xH=H2=hC`7BG+XY6Q+lpuln90`=aktgU~9G#LF z*vRp41Bi#iJXhb!>tUXo=iYC@*K_Gp%w#)9$X z#Sk(OGhHUAZM1u;)@CKCEKqEUg9g%FDt6fy%*@ySGiALv5h&o)Ss_8{h>afD`RmjYUgI^%~<|A z1_>J$WGM#9h#X{Xy#8952i;P(mk_*)8>5guYi_7hfk7cp-^`XN$3zH_r*HehRe}{e z$X$3%0>Y+sWw34ofr|>f84HlM64eTMD_OUJD$*bW2RS)QO4E4}$ivd#)e{k6WdL1WF zG?H1M(D#^qdgzIgs}`Uk%8fTNP9e@Yw<$nL*f12vi*9Ftq8LW-Xf?Ldo(XhEys$ul zXkl^oV(1*2Cw`n1KTL=pSuzE@5I-RfTK|b}8S=zWnRh?2l+ZT^ik~?;e9TsKCtz7k z!G3F=7JXv)1nyP71OtqW;RtE{awG;1trlYv>&q(2aIusf;GZQ51Hd214p?VBE(_Nz zc!Gs?mCR;_mL9>ucoq@w!Xs3VXYj6cJdbKqul#r(es@pf7IDjIP2Dgc5FAL=&y(V( z3GuU#@Q7cKJlVhv9E>_3G3~J|Ze2@BOYN<2pcnNR?wQC4R@UZBYL22fZD0I@SBc_K?0?~;Y zGD!uZ##g6_Fqy3IL6MY_V-VO@qkm`xWqTe{Nd zfwLE@;^66(GfEifR=%0FWOy*=j2~2GhBvm%LjDHr-*ZnC^pP2qV6Sf z*d{A+!mu}1c2Hnr%;rTeoWuTD#0m7oeFC?C^*m&ZzPC0%e^74(B{$+^a$+oYhqQt#J)XFq1$?(1j7}~I_Z|{% zdxp#E0$RgRD;JRNL{}#*V32vEg)+p5K(;GUb~J-OW~@NAEz@1y0@02rOOk0d3W8M% z$aHy^5fWXpP5}WfS*L*TTB=8uPwoDeZj>`jXM6Jn3;ghP9=k9cNPLFf`u zPwZ!=?Xyk0*~GO2RN3k*6@OmG5j+Y>a*aaQ40_^$q}ZPj2Vz?k2ML~?(4r3mSOHc5 zA$|`sHV3VQhVluWhem)-$pwj?csMB@Oo)f#+ZB%xP)j=Zs#H%r${0Ojh1$s_d>$JC zKJLYgo_IVd9!-eH;+hjr3&8A=B)bfyknB$qJO zqF_M9O;RwF%Bx_EDO*Ri&s)A2R?!dfhcT8vFj;# z;zcI!3%070OSFB7Xgda9GUBnxH=WQ;AuxE`GdwQ0_pozEA6!zQ)%ZFR4NlxfW4{YX zLln*+LaN7dD!H$Eu4e8HqVX4AV-H4L_|_m=Q`7qbCHDi-Vww)bHJ1a?EKChteId|e zW4v+dvrbUrFDJ!|3Gq@KZNxu_YA0H$D7hON-&5ePEFE9w6ej0m-hFJ`4bYs4+4s?< zH$Zb1J_5tM9@KIfAFmtOSDS#Y!Kesdo#I^fY|~S)is1{NZ5HBJah)XHgibdzH`w%x734#QlGIDcPI@8}zZn5==%mG@?fbz0# zC{H!W$a4-fm(`h^)3S=w$;^h_0>I-8Xe?`DxB~w4D_EqjLUn>o6|M?>WnF%*s#g6u z4+_irN1UhKInIQ(vYU0bqF&bL0#I6ZOVbu`m-e}oC+WjIGBFOc%Iqk}B#{!%PlS{g z+~-wITb1A1H;BlHG@>#J#KSaSmzrLHt-$iR3n@0N0-JHE@Ytjr_Q-1E?x%%47}Ij=6LNbtiD$nlhG7PNbUgH_xE* zB(6NMye*WIHQuGSnV|X52UJo0WUfB5vLhl-QE1P9`U5)0xB673yUfXxypiao|arhbwd$)sB|V*T2$hK$;DJU2vbDSv$*Ku zuwy3ArgCmzB1)daCC`pJZ1P+x8xypMD(7*PbIbU1atYOpi)%#HrCfDMIe$+sqq-Gh z9|2-HgIG3pnk-6R z%%v|X^;=~lm5*+op~gC{(HQ5?$|i0jSqkw-NDiQR5P>(GutV%2ujV zX4M{5+qi0L1?O0{6NJ#>KI*OKdhI11v)n+XE5?qX_N82V!?13(+(^($*Q(&IRc^!c zfAT<>dr8x}iG~uvakH%i|nqc_jh0{s=pz@H|EW^(uyXrFB?LU3OTmCa`wR zk+blpe_$kl(+v3PGVZ&)hCqiM^uU213H%Jhzoyb0Kz2|gVS=eyz(^YC->rMfe8D)RAyjF zO7{CxD6<e%xcR4O2yVqhFKjx4&-U{Gwlzv4O}^_&9m#{FYqiW?Jkw4s)BAeO(;AFoznQu0mo`_2C0X6=QTPp6+!@-39}R>k`;BbWnk^ZhI2+x@Yr`SVw# z0|7UwEPd`CSs(Ei6B8r3_=bIdH-vFXY9OCa;YjO_ z6yleAQ^e>3v-K{PK8m0oIq)Fy$*+&uFKe1C=fwm-YJr6-HM2PJKpUfp4kCN;1*o9d@; z2&EQ+O)2>ue`+ez+m-6;&lU zv?(^b(8p<>{2r}seYYB|Y-;v$Ix@4d+2$wW#|RbJM^OHA9_h_8+uv1YUm&0#v$G^5R#uMaVtM(h}ZISrg#HC zPZn?C=PBY&ex54c&Cgll{ro&le3+lL;%r$&b=70`!HZNv>Og!;68xCdJu!v6SZ0u z^zT+Rcj=Z2LG>jDp&Rz2>H&=T2T=8(Du3gH>Uq;c>REgkdc+g+G`O7_oY(^~_QZnH zZBWWYd7iEq;BnI-8JzbT8(M#7EfHDyR}nON$kbw)nH%kiPiT(Deh)oUl`DlRj=5G z@$HGmQUFy$`?yBSZjA8z0l*XOkxt#Jf!(Hia=UKwCfyUMd-CcBpv(?JX=|Fj<{>EP zhoQhcu`vR2*B*=)Ph5E))b?(ch-0r7^xkC#^q6`MKCYf`enLIp z@}zpc^(pn-_OyDw?HToa`?KnK=X2`$j^|;EJaN75(L49TEE#dx4>h2vxl7B%yADD{ zc;W_)^SgC5h(l4AyhE3~QA^N!H2tOS%gtJDU;hZY`6vkV7?khhP~cC%Fg}UaHR3ls z4Q2ie6!x=dQ6szSd0_N{Lj1ii!Z^MJ1^=@8efvMOl0T{DAlcLu>^MDV)I8vcTeK>A zpC@kDukZK79s2bHo_Le)$on)$->+%#0WDP@)V=$V?%juV?>?e?cb6yLrdj+^O`E&- zz>4gJMc4;xupgG-0IYx~-l?-cs$0A}kfC|Ves3HUPkgX6nM&VP0t{{LgLT>uOLYKN z=>fTD=pb--5I8&p93EyIK2}<74xoK1(#Y)^#d|c0QWNFw{b=O?TF}I}^&tF&2hrL? z@FO0EukZ+3d=xD{h87=3i&{26_9X16X79(J24>IjsQR3y?M)iodo*qD(X`#ECFhQZ zVHWi;_~@fBjgP?{^Td}lmQeDiqwg0Y>1J2m9n9)Qw52&MfXY=9k8N^Wk{5O2~0 zOX`7jvmRK*Cjj&;%PBl_*(nSU}>E5g{ zdyB^G9#8y87umHB)>&uYb^sdb0a$PLIAN_Hf(3sVNN8m5d=yP+L_g(;pXt_i?S%ud z4^{W0$^jU627#&%0`x-|M-OBCJc6ntR3i68l*p~mfWe;ll^!>{w3fVeHz)r#F8O}A zl6!#7UUbG2f7CU0?FK@W<%vIQN*E3EG0puu9|WEcL4QAt{^)Lh`cVkiV}SHHsy>1K zJqg}Ch5l(J$M`)9CTV?9cwYQv=mjw6MPTp}oYj}XG*A3Bpm+x;P9p`>hA(~)CgVXi z5k?2@+7B!az`fP7d8fwiRxO*KdI+wV)@bk5Sbj!R_d}XNAJ&re5j_mn~}nzN~xw6-1WkVY|YU8?_8>_T=TkD~vx=5~)`Quf`8RYDbXT6})x_ubb_w zmrVNJ*fGhmc&YNp2&K)-HRdH_UOLT7mwCymn!Y!VUd)g2Rmln7kdJ@#a$f>3)h~O;>6d-u^~?S$yilbB)vD41HAtvN!odl6;j9NIs;q}5p#>`Y@Zg(8bSOY>O{GD=2c-*}?nS=LGNPoEyBKdtUH<-jd*b z$x_8lYIf-|A14KMTg#RQ@5@)<3Rs=K;(R}elXCjk`4^yy`EfxVe$?okx|Qf>@N?xV z$X5Vm)#?O(nSQOVx3BeUEVwmmQN+Mrd!h5=!Un)Lh&EglAbQcoLC-F3L}au^rg5F^ z*Se-L_Pwb&=zMbvWY2W6~i&+M+ULy@+**FKwWVqnfd;*@Xsvc84)_4D}svhqTab~7w!k7tT6XyS_35mpz?|t9%lJt5X zz54&8fAn*=`dRcmkAB|IKZodbkIMPmr|&(Se(t58-al{B_4d)vG5<96_H(XJ1rG$T z5AZ7kKB!&|QvB3CAk23w%te)`9wVxOJpXYD+{XnvCr+xGG#*$I5xV4e<@c)O_r{M= z{Z7m8%O8xFKbVpn@`0+yS*l)I{!spCy!=rpOSPGnKbAikFMkrsQVpc#Pvy_X%b$g^ z_Hf&2`E&V;@$wg;ti3wxm-1KR<*!0n`*hZ?<-OzOy*kTh4EO7--^kyNm%p{O&`s5? zE|Cyps>B%6&8$d@u~lO1cyyDj~I(TW5JKeKy}?Al#fHT~^eH2~}c3(A-2ZX^fae%}t`_CaLBoQFD`QbCXna0Ea>h zPLVq+CW|RmVoCt+Fmd=8aX5iHoWLEfz#TqqJiS->PmdFaA78CIq26!~NlL~t63;4~|O)2s+mK2diLWgF`1H;5o*Lj*(BsU>uOS|AP6Aa^WLlv+bXnZ`mx zbk=X6=QNg65|3#lVpNhULBv!UV)iJ3RzYqNktYG?FW#0h7?kmF647WSZ4f;4_PfvJ+WxoH)Kp93P0u31a$KFP5`OtO8=l|y8Iq$1RXI&!C|*P z_jEd`_~};Srt6MTC8a9Pr`PdB2wiHgeY?+r58<3X4N6cW0lor2Vjm^Yh$3sH-&7W} zyiUP}bWEplq0t8WRa2^)YFt1%bo(}&gojY$rk&~mR*C%N}LpMJtbz06*Cmq@gT0_L0q3fT%SQ)pAm3< zM!@wM0oP|(uB$g9hsg%lXDF`Euw2*W!Sxx$byF0GyY-ptGl=UmG}o!<_yjtw6F?*V zwvV!u7(g}+mM{ROs(stB_0TuHFTq5tQT~n43aej zcT|}VDl~irNaqZzNFWoeNcQu{$o_xjeGQnNS6Sxd%Y5nEWYV;>h3&E~lys6zOWKAq zEujoG&>zwoF+#u*;}~hgh!G=>7%}2`v0}xF5hF&77%^hR5l4(TV$`fV>t-eEX5Flt zb+h(MJDL16{hyXl2KK)1=Q-!R-#6cvOnddZa4)Y5uJiOf=RD^*_w#d}_q^|X39kx^ zi?BGm)HR{E9(v8C(9osO9Jl5ncvdextCybD>o$8MNds}oywID1+$bIZy>ygbcRARk z7jXca^j3#X7oF)OH;A*{BEULjck)>%h7`4|9294Db zfitW3B{)JLwst!6^E!wio5WP{jY10%|Nk9Y1oj)~U~(06Adw5rRW3=cB1*2Z&|Jk> zhO}Ho<%(QIlw8I9(p<&(njn{ytFY5t#d4=QEinKQkMolnzcDNcON+2H$N93*R}X!R z^SPmqA>GH2?u%)7Ug)y}6(Q0`aQi%Z5h8sao!D%jhg&blTf&PJbL&ht_Gkl{7Wx>m zaSYNzpOtWZEaCbrR*@(BRKjtiK@(M)y4 zsv`D{L4(fb8#t3FfuRici0x81SxQTTPE=4h`{IG2!>x~1M4ufiLNFeF(OZRJbbR=+ z4!Be9_}7N(if~H_`NJELd+Q%=J*+SU&=7$YL|_FGSdkG}kr7yt5m=EBFp3C7HV|lK6M+>(AdUe7 z)rmr21rb=05a7Z^-w;5< zA_onN95fGE^RP7&K&PMR^b?)_j81<>r$3|9Z|Fc9qlivq1D#fOfw<`>I&lop@iEx! z`By{EjYA_+fjQ&(#J0-|xgHyppB2HRx0k_h_x&~)}i$k^t?6pVJ zF6Fp-1dfIwizeurlLk$2Rw`Q}lvvLr_YsfWo5L+dxFtvK>%z)guPGlKUjb|VqYJi6F-&sfT_2Jec+?r8*Ll~%s0YecD^B)@K zKQzpLXdVW%1B7;f&<wUojPnb%p!JDkAE6(u-Fk%YU%sfnUY z*1Q9DZ8>n&LbCM8fn+&gbv2@PcH)>UlQ=FZL&Zmrb~3aw^MNmgv(PCm&wZUosN7~g zQ{TW<85r$8rHg@dn*raHqd)47{>E@y5pK(l{>=fIc$GOi8ag@}UXZP#YpJgE4z4z(&5^13=peMRMuFYvfmO`*TZTv zBpMnL4Ko%RUQ4a!f~&dU>TJ)|*`BMjJy$1t8by0XHtgBTE>KXeraj{rfKr_(^Q@*l zSKG$mtXvpT>>W5Poq|279_(42fDF?-0U5+6z!qsg?36u$SF=JKlLYY2861C6T_h20v0y(S>o_<`2ZF~bfKBxPc69>4Zk_;-^a%)_v>$dx zu$vTm2RS-3fUr|Iid;#8Vg0tSwjS0RtY}!mtRD$BGitx6q)7!(kdRS*V zp`lLesM9*?v@Y9tUAFPMY~yvw#zxV`kqsNSvRPKGqmAPj*tj~;&wh5w0&t0@;jf9q zyUQPtkQ!_r7s*m9!`{o@dA#6pkuaE3fXS)JNb#zPdxqnzqo=JiKv7Y|IVKKIIZYkH z7Kd{LX)(!ycPcZL!@=#HaKE_uyw4gc2WtFC%M!J-vBNMIUUX7?L(_XDzn?taVWg~8;0 zM$vweeeFy(-EWZY7ssq3sTUH1d?7Jt4@|Jjpgpy~o5^RC^~FwpxnXR6xj{B6mZs@k z1XbQ(F4_iT(Z=2$hW4NpV?fX;N$p`3k88z2R&gBZWtWYy-KAP+P%*-S3ScS5ryS(( z4DTw!yE4e%71r0odV)MRtS7qbiSGK0?s`WT_4RuAEp%C*kX^4B;4q1*;mm734Gn%^7VU4(aMHSY}@>tUn0BpM!mXn6Q- zq|zIy^v0+I$#h$|(LEC(zA@ov6oWRhm(FA}*fw$taSSwe5e-`nDQP1i+Gr2~2QCax z@(y^CQ#kyf9#h`NbXGKvJ)Xv%FyZcIalAGujK1s`eP4J_5#EzA`u?z~9yS?9Xc)Ge z2+k&gvnhkKDTA{qgR?1tV-&%OY=G0sX4q~bIB^WXsZLbB-b8RV890E93j-YQfKxaH za9Y#@1eB}6I^5xGt^qLhX%ihafOkZJQY)5NKtc$fa|C}NytfGN%?SQr*jx{r4M8+S za5E9yOawP)1UF{{H)jMlCj^Zmf{_gbTiHZ#GZBnqplWrZ5Zp`zHzx$SFhKDRAm|hj zY*7ygQmzI^1YH9l=+l5;2MvH=6ezVKf++VbOSSNmp8caFp9^^FwgE`yMY8??=H(@9*PFyMNUc14iFvw;-6P$Fv2B))VvK3Td6tLlxLFlbwQ zHfbv0(*0N5iF`r&Bcj@%??;+J8)1@zWQg!AUSuAp@4ZH)ZnfIDn;ln?jj3s|LST_px@ly7RIbh6D9lcq6J zl4}eXFzN;Fv%9SZI3uG5i8}Ryc35jGA17&)-?~GjBHHA|+oA0Luh(NE@WCQ{FekR34BP5qnK*!-pC)lh{n)W&$@8;$}#uE1=*T!8sMuqoq+S zLL(bxQ!Bf`w>g1W#4&)sI?*q^@@go~F_d2(x~S8M0v_In+vF$@PdWkEYP=BDcu^WJ z3fInY(F>`A^Ca-$Eu<%1;(&DR9k{S|3da)BYMF4Hz10awdp-dre;argC%&fI0cE?A zsqwrU%vW##&UP1GUr-aA>BLGqi1!!{Bc9q^H53@hO4`uHX;l=jzAF8t**!8Wd6!Rg z7KQ4cwtA9{{=6Wht7)h3*l3&Or!~H9B!g&dlm-wWSgtW4+^D=#&xD8_6J%a#<&Cgh zP(>9Tw&_Wbvc zf~Kl#hyCYWYy9)wzx*Rd2r8~l4*v{U4j47l)ef?5!Y8?Dy0wU?^98a3cU1NZ^N;o$g2{QP++E+NX$#LYi5?OnW zD=RQ_e$)QcXD(yWt5lX%{wgQh*LN+Dw&qw@pf=4J+M1H2C@m@q&sxUiV_niWcJhv} z$!@Zcbd-fib=5dpeZtOiS-XJ(IS-5=bGlykDrvRPqF>9(-AU38sjKtU7CrAuIuZ0& zkZaLh%DU9y^mgjG{dv8}?{r^XS+~ zd&Du&+Q%Tuxf-&-PTFIq*#oi7g#oX3AT2lrdtd@@Zg!?T(L5GavX4Ds!kGfJqE5Mn zHkokhb)0@Ze6k3i%sBl<*i{d^3@0>n*j)r@7XjLp0os)T+LZy?l>jn|07W(cYGu=5 zcM+gC2I^KP3ZPvCXjgJrE)0OY0}ksH0E+72fwFlNywpeG6F}@Fp|HeJ_|5RCB77>N z@LOSbJ?u6V&=7^)L}52k*qu?>ol)4GQP`bOFp4NdHc)6~6NTMGA&vnG)rmr3H&NJ~ zP~gHq!8?G0Q$QiA2Naq|0R2u5TBj8-;dW)H!LV*o~VqQKZgF!m%cxG=!*4#bR80E6lw z$f^?%WX%(Ri%&q&*=9dDJDsnoR@@=6?a4WAkDpiSwKonT?UNso_JT#E-C!dh?y*;J z$bwEyl8X1?d+a?Tj>|pv{C1j@GANG0J(f4|gzpgI-DU^iXg4>L(2RK#224J%%zfZ@ z!)J={nd}3<7xvb}Uh@Go^Z_*VfxYyBz4U>-*$4J!AK06HU~lpPqv!*X4IgM_(+Bp_ z2jUoLU7aYC?xhdxO+LVd;RD_QA8-mjK=t4Q)d?_U^91;SPk;}k{jgKX6A{|15C_=_ zhii(xeoc{QN@2lFpeiu;In2KwK3jy(W|;pVjMT%3!Hk9pXN35U5Z{rE??}dXB;z}h z@HL9~MmF$mWi#Q75Z^ck>Q*Pt<7ZbRwsRoq6dstI!Xac7OCu@=bVq28kz@|)33GS{ z9Na0GBktx-lGRbLfsdMO(eEyDRBxa-7-4}p;sJ-!X~bWh*zWeEipo7$Ged0zU=i?%dAbb&%O{1q;?Q9GM8c;UPGk*Y}f zSo_^@nECBn^0Fc=YrQ2x$IllWPTZD_SlW4M+mdp#I zmKu;)oI)gzG6#)%8pF;;7319{zEX<4*qQY*rJYwYQhJ;O^V%e40;lj~*=e~S%QG*u z93Ic&B7I3TT+DSO9KIc-U0*q|3uKTc9onvjhxP~?3J(VhwY^iPT4ccYM?IpYoH?hI zqnq%D6-E`jbkkAKno_Qr&A$;HWoC_Iq#VZ>^79}b#W{;hZ?z;Hzp4It~wNH{*thJ0t9*d&!2NA1*;K0W*bVAKjnX~EpacM2{5 zmunrY?7pkLW-Fr_qI*fG62F9!>Z*}7!z~2P#RiUEb@;*pN4v=qD&WZ5VqJ<~a7+`+y1Qv(h~#~qTzWZ39)J|?6#RG}Q^vk{ zTSrnZxzAj(!4A3PK66Q}Z!Sreyk{Siic3Ti=Ynvz*bynZTbl{mvfPbIXv=akZCR9- zl*7W^;+ADGx?6Yo&Zc(a;~!&>zw8 z@I=GI6AcefG$$Z=j7~a6CmqX9I+mSuEIa8~a#Ew{q>&9LZDkjzp~vW?aSVLWCvtgQ zCE?z7%xZjiTU;K%SZ8;MFPma7&Lk+si`y}CSfr6A;hM$*y}SI0&a4k+iIsJJGWYsA zr)RUyw$AJPRF+s-=R>*I*TGYC+)tvA|2pmLr#rJkmR(t~%)P!MUZVSoD6eO%{aEJ( zKHQo0GkKND+V|z&S*?v1;hpyVvz=KV$*WY>{<+*ctF=*jcUt@Y&a9u$t5nwhsP)o} zOt6kIjxjp$lc}TP^7wqk<6xq)m;@j(jakw|KA9PI_^Hb=WGiGHAB6-S$5Rwi;~27% zMB$lc%#tE<+!(SXvh`LTeFmuKDhP$CN@94XdV zyUSl-?|gp2FlG;+Najvy2Q#p3*b3T)_LZT;RR9ugswXzbENC=Y{>AI>|g6Si z_3-B@+YI}~c4AR`bQ1e96ALFiuh?H|Cl*I}C$V^~8I`;F0-}F8i(cJX;K#wLSmJuO z#9xNLD#Bl7OZ;^>P!9*p5@=|N1GK~eTH-)HE)V!|i3;U_olfu)qxg#R0L^p2&4YsG zKrCoTs$@7|z6Em_#ZOQUDC})MjL4Dj`U6+NPY+ns9T;ctrYHyQf>7{=>i+@MFpVg?P188j?r(C}wv#%Ygn+GE_6LB1b% zd!Q&8H+#S%jUt}ow8yyb5J5369lOh4$-S#c>hE~R`FFhIW*-FgxLd{eOcs5ylMlMa zW-jA&q?xAaTpFev_jrUWjKeGxB2ASpB*aX^;js32&PwC5cz206x-CLrp>eZ09@U&e ziPTBJuS!5|(lp4EQIW;Twgg;moZonRFHR1soF||lYo5T}$ON`AghZSgDf`=)&bob~ z!qW1%1{=3)um+c3$Q#pf`|<2?dwn6b^;aG^Rf$*2JoqE#@xW`I2M2Wt2v}Q#2VNTq zn!1n&-mE1M37Od5yDV#+irUW?m(BUk#k>=L;lxEaU*5@$b(g=Edv7MW$gH40Mv*8{ z+W(BXc=6oEi+(-#BCVQl@%O^o4ERu)8)Z@d>!<3xoloIXz3Csh*J}s1Dy_Lbq{*F{B-WcV{06MhddiV z#?Tyf9-@*ZEdKI%}Q4i0Hfm z9u#LxXxIe$88Tr+7n#s8g>xFka8Kw8C!7uktt2>TM-C#}DdEJrjz{PVn04NLBLrKw z!BV>Oz7dR_CbaIGVydRcb7ys`?t(51gl4HwL4rAmPni*_2kprS;fED!PpIE~Otp%p zh)UQMPo~B3hp-XF+S9X`8u7rP4u7T?YFp`jT3#^b1H+-a{2klD zGZ;~c@)aKC|0Vog5&kYm`Io|>x#18a`4A)d5P}TP&WEVfAyW#G#9mqgN*&7a?R=bj zM)CFJA;$Ni9N&jLzW1oNc3jJ(g6%b~)PD_sUxdHUO8vKRcy2gMr4Cc6!@fKoP!H#7 z?69jhFBruDILze_`|{BCuvf=`@NjzaHHz9EW(9TFD<~w-!~R6`yXvhWSRt#16QZ3+ zn+cQHEE?}H${E0M*or5dAV%@R+833Mz9@q9Fe~uGR)HV(=Vo>+G%-4lBt{21k|0;m zk~~dp$sQZa!+=$ziDANw8@pXFCeUwk(Eoe*ha&t#2K|48BlU2E`F?IVLhO$a`y(0q zBN_W6hCTLb6tO=-?2iC@?CS_GL5~>X(CLW0fKE@od(shm7VuHP`UngmiSf$wh|Iwh zb%cf@U$Rj`9!U_#L6S{dr6uCJ{LPvqfQthV>@5NES_kBRhJP%=KW0GwS2$V^N9_Pa z!vhcv4?r}0Y(T?1P&B*)MZ*_}X!rv0C=onL1dm1p=Y^wo-7Pl2I-0>a>W+%Ue$-&Y zabpy*J<9zbbw|aic+`=p$Y7_Q)K6801Foa2xQ`lKl1X%Fit~#j-`=b*)lk;SN6qxv)?dE`P*Dg6)oc|)so*Xm)fA{luX4xAP~#(oj!-8F2v;iHvSl0<*m4cuGCW`F zwFp8O6Fa2TWL3@nko|B+GBY4p zWOTHhCrR#sWM34(>wsoVP;Yd!*9&tL_gq1xk|%>*<6;(UIE|xrmLd#nolhR5lAu6K zPTzHJ-bvQZ94RL%X`nyZnYz*T3@KCTZ1-8p0Cu($3={(tF5PfZ;e)hy3G^QV ziTjsY<{!%vstRPK3KTd^yFh_sj@w;+h6`Cv6o|Gr3CZRX+``QD;{GLs%5;~%XWFtC zPwc+MMr9u@#W+p>4BJt=NqUYQi!9;mLPh2#Wv2^a zYS11%#L#sfCJjAB$u$hBCLRBEDru{VbQ9sa2Z z|CDQ@{}YbY!!fIg(6A;#!}Ojw)Z;3M8~!sa4aY#x8D#wAd#nGygzB=FjjYf6y(2shTp zkaZr3dZ;QZ)kDXS*R(-KJO3c_m`X+7T#|*fW*z*;rJN=6 zqHH*Zw*jaMIZTR4g3wb{c+8(?IL}<{JR)0J+71OW-*X{Un=@U*?ctt$%s(cP4&9(bH?l)FvO_n_p>Y*u z6dk%jhi+ttZkR(;sJUZ<4(&7HJ`@Key#tQPxj@3^@tUhi0zY|Z*n2xoR*Y0d!bu>K z9#&l=J<3Pzk@lUB3n-)baNMLlPKkzlQZEOY6GqB53zukkB0_#|__Oo~B~@7P$%db$ zk|eJ|Y1QzQbUmlaUuub(OBpzX;uwkNnC|iq)yqGmvstW<+6?;~duQxi3h3qqvFba& zpxx+gIA9!k1Z@*gI3L_xkK~Q>qv!~YkWBA08unm@+a;q6$@W|Qqr5Yu@VH4NO2E}r zphFK<8C>|;Bu7iM4z5V3IPxgx&d?EmaNfLxnVQ9e_49o~%mLXsP&_n6#qI3|Jy#jj zf?#K8c=N-pc7}$v#!TH%J2Yuj+4&#a&guAaJi|+lXW(LLrFOW*Gw$*5P!S%=8Ta7; zhnldAi-s8&4KpqpW?VGPxM-MhCzx?3m~kiYc^8u3gylLMNk-BAz@l?aFp*C9r4)O0 zu20yxK4JACPNoSv*C#A}Auk$5$l3_Pp$kFG$SxU&9YrD|yGTi3(a=Ex+?o=&?Iu;S z1RS^~oCj`a9kge=B2E)(Hi1^bqZrpAxzyIuk}4Q{vszMFTT4o5Jc{|wjuUPiR#cNx zkRON@N#utK&+5G-^`XuLlC^MAVv%f7lHEfnwC6PDtTVU04ZQUOAVLi&OdDL}D) zhT7stpWD(9@uK=0Y2;he8b(rQxD*mjufqEFF`h}IZCSfvuJuM>M?I1wg`FqxzEWH>c9oMH@~Vho;&a!6iO zgHoqF+%zDSTPt1M>2Rh9XR^3Q!r8guEXAFrxU*T@Srd0QiNk;-?)5J2(ePLi9?Rk$ z4>*;cptvU}?ujh!2^0535{Cgv+^sI|$?#MWp335$4pa3oWvK}bQxh7dCNxYK0&W?p2rF4T&R`BA&aycF%-Q}MM9u}`|Dm-vSm@C8ZcNwu4w_HB- z!Q5FH`lp>2`$C>@At`^xq34N70opbug;Kf?bmtXHy1h{Nx$m@Kfsg&MNee%#09um~ zi}fihz!Vt#$b!clRIQ;vca|VD-BFXoT(FdUnXG;CYl%dhkZ!$Gl;IUg>g4Dc0xjG4 zbK3@UxfKB(2`KyN&&p;h0;ESQ0-|{Sm)@?qE2>^BfPAbACXwSJm=4AdR!-T&kAOS+#sFRm(=tgyrfbxbCq`M@*(M(GgGSr>shF@_MNz`Xtf#edBdSP@9nN-_Qsi@UO z!B(#Sy-|zfgB$PBHZNaGb$-HuZG$U{+2vA_vgD*|P=g>hAu(Y~s`aip}z>A87~+^B~IcC}aTX;D?xCoakt48FX=rqg~}{0KGS zOh3Uv5W^A$hI?dZ`6y(gmnq-NIw)z)PzB18X}s4% zZk@&t_BYsEX43SN{gNgtOPZ{_Ax`d^e?hqtc&Q2GWWIWFXOTcT%Z*%h2K=bMqJP}U zNeUX2^l2yboV&=;Zc!0V^WPodHd5$WbfCyFSLM&(xzk?8;g@l^g^gVBa=DF_N`iMY23tr-A&(Ae8?! zv-!p2`40;`-dRR1PU0MSX`vljDP5_Y@VA4p9?;>$GLc`_$Ii;ozr>2D5EoulYbcf6 z8@?94UWBh-H1|RM_fXkY&MC?{weAP!bm8>5Ts#{)RL(0eD$0u@uS>k+KCdV*#{VL3 zj(86_Z+^L;C>KOtO}vMl*HbPm%7u|PSG)=5T~aP8%0-daE#3*|y`;RnC@+sZ(`C|m zSCm&4<&}|Vx}0?0OUsuP<;x<^bUEd`e^XvnlvhQb>2lh6|F(R2QNBF#OqVmx`*-Cx z73DWYUR`=V;=F%fzM?2!5qT)if%RGEy|TQzD6fvZi^O}>d9NxL7vieT z@(txcQ4U02Av@SVzA{w4vAnG)Z;QN(#j}6EV5t1&a#c~TioE&a*}oVtRKBTvb5XuI z@)n54RP_qq|Lx@+MR`Z$_awgk^6*f3XL(mq-WB-^6W@MOc&L0!xw>perx&GqI_%QXZiLMomuK5%=MQ!{~hH!i}Ib3e+5&PrJ8%oS~<5U z=jN2vUDk`TUh8fsWzCsS`uLm$NP-XH{|)gTytoGXxaP%lc4>Lp{PHp^q33F*yDsr# zO8bs-uqX$~=Um9anlYt)XZfz8d{;9srnK)W*B9mbW?oEb-(3zB4hit;_pyqMCyzuZ)mn-cH;0Y8Wa*8l(j literal 119842 zcmdSC2Yg(`wLgCEdTmQ`p&D$!ur^@i2DUNH4P;w30$CE0Y)oBN(#l>VYlT*_ag1SS zAV3n*6Vf|@^xk{#A@$|u<>e*9xM6zl$^U!KlsotC-Ib7&_x?YBK=t9JAeZm;$5f@$`Hn5w&H+DJ zolf`l=eD7oKNj!TX0v^{-c5crie&owvb|knYNn*qx!&GvKMLmivi$=)(!H6j*)bCU zb)Y}fTRGakeW5yi@IQ{*Z9qCM0SAVupNQ_6yrk;F9 zrYD{6?k;2p5>;qGp_9Hg*V~ou%n$YsWcw4IyjT8iOmghF@m19|6DCfYeAwYf9C_5y zQ;s=y>a^pIKVkZbC#7c0Jo%JUXPs6%d(PZ>^G`ox!NNsnE({Kku;HSM8`m{8w_MWN*1mqjr5i81{E92Dx;lMLrlYef+r4RXZp*bjTYK|; z*Yy_$2Dfd$e#fhJ-mvS&n~KGvD9Yl^#aoK6F5X(at$2I!HO1E!Ust@N`1;}-if=5w zsrcsNTZ(TjzODH7;+@5J6yI5VSMlA&_Y~h-d|&bX#SaueSo~1&!^Mvj?<#(@cz5xh z;>U^~FMgu<$>OJqpDuo;_}Sv;ik~ljq4>q(mx^C5ex>-;;@65_FMgx=&EmI;-!6Wq z_}$|7ir+8(p!mb$kBUDo{-pTR;?IgdFaDzV%i^z!zb@We{7vz<#orZwU;IPykH!Be z{;Bw%#XlGStN54Ve;5B+{Ga0AihnN-74IwVF5X|l47hFC&r5^ zQ7vl31Tj%e5|hPY;&5?|) z#Vm1}s1>ut95GkS6Z6IC;ta7sEEJ2xnPRaxOPnpv5$B5Y#1gSoEECJc3UR);K-7tq zVwG4e>ctwdR$M3=#6{v_(J0o5CebWf#3iCtw25}HUThGTijCqjak;ocTq&*+SBtc` zMr1^X=oDQdE4sxdv03EA7ICfU5nDyC$csL4o#+<@F(3xTHnCk?FLsDmiJjsGu}j=2 zZW2WygcLW6Tg0oyt>QLuyLgRwt$3ZdL%d$RLA+7CNxWISMZ8tKO}t&)Dc&L8Dc&XC zE#4#EE8ZvGFFqhXC_W@UEIuOc5+4K#81V~#LvYq#4p9K#IMD@ z;y2>A;&6R;OMX<|E$@*ZlOLC#ke`&FlAo5Jk)M^Hlb@Gg zkYAKvl3$izkzbWxlV6wLkl&QwlHZo!k>8cyli!y=kUx|^l0TL|kw29`lRuZgkiV3_ zlD~#>{EhtWn8c9hjh)fgGg$EM^Sp60w&r^Ay4&+6%oyy=^zTr=@29MR+}3Qt+v9oT zXLRMZ<+`%oUV7h}?;7mMd;6$LM{Zzyu8__2c6s|fug3h&_j?DN?B4u<_W=DaWUm{{ z_73DSJ>EgjJ8}lJHnr&_KS(XWNOa}5dJhp2g@Js3)_d6VCe7&U&*pkN`?FgC!h6K? zCeP^07Y2f)M|GjDtSR)EDHJ3ED z)oq!c!L0WpwYfFFE$h9+B*^mn%S3|C&AFZ~?;ngTz4m8&y?Z)r>-}qX+hr z6H-*OFN6F6{yl?OvNb!9>Fj}d=cJjQmz+WTAOI)R58@sDIK}hE%mCx){Zx9-UdQjV z=)E_~@2AoGCRMqX-&Oi-dhb!`bNF4Q&m|1=-9hv7C^M(fpHF=QD*ET?o;PturiVph zAO|`SB4-fsvb~v(o-Di$NRIC50-{!TUiWjM5|kZ1s?s9*$;BDQnS=!Y?Cs%%#Y7fP z=-ix99X^YQ%Smu7xX{^zj;b}dwIi#FokJqPiCx?C{fchq+Jc1vpr|-;9#OUBMNFPAz33bo2W%LN3?cmF*Z*HJ1}6xo(2hsR+7)s^_}1Jq6Xh^Qn8=AqeUx z0FIf_m1k&m)NHqA;z~kR`ALx4J}$6|kPXrleya&!M}IbREtjchK?7}}8B`N%xC7gB z1D%_>#9E?$XGY_5q30d$GR|f+P~YG#Wd^lqTtsmCvjcI9mp{D%=|B*L8y! ziA1DzR2dE+4}VH%qVB-m%k{$#U9gP{HM?mGRa%Riwn(L2;-;Oc(pufL#VW1MO*>1a zwUe~icDdR0Zqh7=OUu5waTYgdy#%wEqUs;4spbw+edgWG9%-Fnzv1FFM=hH|IO45*%lKDic6b3z9s zYO5*R3I3&7{j1C_;GQlFb~s5{V3F<~?B$6A>LxvZj5pSw+>+@en5lssec8g2aeh@x ze`eFxOkv4*e?m(?W*#);3rngJLqIyy50y6nHV^j0Qou}2SPher-!z!L7}5b-RnwWr z1fvtOQ9yf1Ak9eDQ0=Nqn_E}6HC$d#6_ZWt8yl&{L`qFx*s%7(l@0A4%-fNi&{V&% zy}j8a?|~#YtZQj)URk%Yu|B<_u5o=m*}S9H)oo0-G`BUhH*Ba+H?3c{vc8q<-%;xt zn$k`6YwO%}*ujbIb**db+tX_s*Een?OE`9Q{mS)g$p(&Z%@1N=Wy$`HYvwr>x|gg@ z)`WXKk-AcC2+6j(8l5f-WO`vg(4lc`aSGIx>TQ|+9H~eUV^Xr(o9L2jvVZbgo;{`1 z$jSGoa|NE*ZOf*Xr@AvxD@$t*^UuxoLUC+8=ZprLOZ8@Y)XNzT<+91blZ_;g zZR=NFRKKdd4TN5J-qM<5N8ip=e_0*Py+Lg?+}iVfxz3h+z9%(*{)$v>`L^dSoV~PW z+UQ$8&Obk(YW>!}fgO#|2W^8qKpNpQfu|)%0jC8@xPASV6 z&R8%z#g-YVyQ*#T<(r$z2bQG5PlAKmY2sXU=J}MmOI1~kUq?jvL%UoV5e$+%ktckEtQ003xtD8$I^OB zIsRN%XJ#lCH;*9}u>u3V>zeHifnbvGZab=!jNn?G}+V%_6YpA2dPtYs1qJrUJK9Jm& zHBA*5P-al2$|2X#UcWBAvaYRuZjSJat4{bT`H9OdkEI;+iy!2h4>xV*;rx2McUh$MS_sPs775U=BBigkVW}fUnz@!9Ia1TeeIG++2o5x)G&zDnJHKi}DhwZNd<=pnv_^d&4XAoEo$L zA`*bS{|}O2SBhr+OuSbp4`Gf~W^cG`EO*02;+b2Kw*hOxS^U=@IL4=rezeW<^95{X)GBG$-hv8Hh!>`>m-|C9*vqs^iIR^m5fK#=d~?*DKwZlknV!Pp9lWT z!-&KxQ>HhYA1strB=MqKqEO=I47EnD{EG>pB)B5|9PvJF^x|FX&$9Erx(#&=jdd#< z8XMX#ORrwJuD-o)bzOU%vRSkJvtG&con){;bN4y^$+m&k`o{VVbxo`4!_XHlt(iMY z==1y;7CIJH*0$EI3&X~QYW^r;pYESxVYfHbuTHnEs&9&*e&*7eGe!x1L5MJytZ!&- zXj&Uae(}0Xa@mfI<<^vBjiov$BI;m zGl0>fJyPxZsP|r<1uY!??cxxrI8#>sR#w8gQr`6m;DO!5}mrS^f zFbpF)Ipnw2mktYbL{HhslT%9CIJnDgw;^dclw{OxuH*ii1rM4C^7O76lQmR;-gTyH zuVkXf9+Yj$MWi-2H?AXWImkn>m6oSk8tX2rZ%waiZrV`a+J-gX=B7HrXSQJ@h@w1a z>YRgV%*0ZIrDn((;w_P2HhIn{h45Uz_-I%N-KhY{pKce;D);A=s1`jvL0wCfRBc%W zGaFovqpWV)f~Z7SO&3d4{o8f|6YujzNvb8jcsWd}U=Bot8px-&Z_aPEqJmLtn|(#J zGfouKdpL(kFP>++c+SQPC=jLE;i|#S8|eo+nA$LghU!o0@R-AP+U56shs|SArp?Kzkm( zQ_PAU@B%({qr_*WU;J}CLhX6tSRd`3{GYKc&ZRHIGeVSEq$LG3Sv5+UtS+I+I;?sb zJ`h!`6n6J&bVlmr9-}uX!nUW>`+@M@f@4e#-2usX6(&TyM^9tSum& zv38W8FZ5p(mcTk%o*^=0XP#nqL1Hr?Osmk_v~v~|7|Fm1Bd*3x!zhuy$d?-ftepi4 z!W)4mo6&`ISC(P`VAe({BxE!=uqNH5_cN78$^ks7ne*qTbi-&OHFI977J&%81BU;k zJem-h|2`~6%oPeD zNaeeSsBHnP5mXT~1iRSf&Ie1@z{dUC*{J;!7 zW>ya8jTZ{9$A)q0`ej{uK`veB!_S!2`!1w1-k(wSQ&R5n02GE@RD zCR&Z*r9{2Z3l1z#HS{8iDAUuH8yMsh1|VV^B5~SP$%dweHqAXkJRaGI3A|*K__mf^ zxN8hA+_k;31{$$2%?o$!P6KT%^>r6xV!AqjouYpb&!si%N7=vzr-6p1_WIVw=2h$4 zn?tQEPhkP?(or_B(P;oZs9$wqNe9paEa6=?$_6fX8)&}_@mx^?5s9#hcf}|hxYB80 zU40X+2k;`H%ZBBtv*0(3vVp6e2I_cUqphS5%Ts4Btx1ovfoq%w)-=|wEd@^`I%jE3 zW|R$d__cPGwyCbQl^0FY)Rfy17SzsBHqqra!AmnM>l$O4fT(6i*+jR0n(alonXMXa zn?~8fX21BWKq=EGM}q?h<4$$;qy`YeiM65LY-mxqNAFkhqHs@SQMj?8slF{(6eb8z zeFg?_jV#-+UV*yo>OnEH^S&zIhgmkNB8Wn_P8u~jR#|1Ld6#MjCO9`rI&ATazYo)) ziNYLlCXEPTu&_3GWHc~y22*{Q?z8V@%A&*3fdP}BDl5yF2?Z;8L|DTbg+_;M+$JSAjqt`Fq8zzU1L~zN0>Gr=@KzMP)5s>iWh}HfY31@Mn zyO-VYU=<`kN=o$kCq`p>^&%Kzw<#j&x={k|_h0?BfW&RsRqh;sCW6cr5VnGYDyage z!GTqgEWJh`gGFJp#eofv4q6{8bm9O{3Xv@Z{d&Dh=Tn;?k^!}x;A^5$OYnZnDcjf; zcaD^x!D|n^)B+59s5<6a&Hi})5jf)94LZtc?YtW2`ug>Eh-M={56G%^bf=VQ>V*iTQ9h5rXZGGGKYi#vAnrxdGqk%tx?) zp%3AtE?$&YK}Liwt2#q}M9Ap4AD&-=c4qSftIAZ`#ipSb0-?g?%Aljca+g#ToE9YuzySho%hr4m@we+S+Q zXEd4U2TcM^YL>t-C4%}+rlAQF&WKy2H4MIB@Vd>Zn0~dU&cap=!eUv3Wr?dO@XKg! zJaf*RIjP)MoYJG%vK^_O{Pq-*XeEWJQJYQ`w23;J(UeZbFbhtp(eKVLC~3iCwY1{<6049+LQ(*I$iAVnuw&co*_j8f+h_&2}V(fJ`^ zHONF{H4uA8uLEJ~O<1Y}mD}8l#SJV(X_V(-;KD%-1QdLBe#}iR#Jom{h#5z`{}Y4* zDP?81f86m?3GTTDtVV>izG;D%Um7!o0gl`3$#)Loj0;>qSCbEeHs+HGYXjaY-g9ZE zsF25AiPO(Wr|E=&qN291P|799wW+*Uh8GQX$FdNo{-5H=s5z>LvL3UkDcN_e z_q7)DNQ`)WHUU4v(NuQgtS5~%)p{{LX2qY%s!+xrgiNb|X6)SSsOh*(`%sNw(_SG2 z(`OqsvkNH2N;CDE>XFVxQp9K4-eTX5CL+|R*)9kg=3=Jxa+BZkvpq{~(&l^;&k)do zS-M`Stb}2uqw>NN5RS{GMGzoX3Pi0lyJi3^XZbcZhq`QI3vRdpxj|ric&OA?FfMU~ zgOQHuXqk0WZ4+IA9Z{FdfSae-7~ApCs2F+gH7DTVI!L+=l%+v1Fqhlq8gQ$0^>V2t zn5{CKh1CA6J=5BDM_8RfV)TTy+GTA7q)~SXB2iMUvI<9CmkNaUYQ7AkC4&>p{h7Ya zEqN5+nLUjj172${=%6|}B1#b}UCs9`Y=t2xdVgUoQLb9}G=O|&{;Lj=t zJ1mg9M%loP_TYAxo~foS@&mX_1CkFP<)%?WFBbh(fh}L9sL}{qu16{OtzC)I)|G?H zj!;pIwteZZi*4T>?iG*-(-<;Rym_>k+~OW?b}2zru7E;8}TnV%W$KbMEwG?w{ zwQ_ZRZ`ZJpKvZa-5|SAtUtff-&r9y5eo4m89GK6YVZo`(-WIPF)q= z%PzjgKj)R4LRqyrpTn_3I)_qxt+NvuUQc%$fjTO_ZuE`Z;haZ76n8uJY{Q+v^t<@_ z(Zhd(Kh098Zfzaz23g%!->B4R@r|R0`zC*80Jo{R{X&XDzp%N1j_Rle2=Ol!b=nl*%?1h(6Y?-)Jc zcRC`X0k_p%=D^3QRPkM-hyQMel^Xt<1{@=3#F;MD0qnLH-!u9K-s{hfQpPk8?g-Yw zitihJJMVWyrX82)tjDIi?g8!~DSlw|kU!|3WP8xi)Ye{Kx0)^q(7+dBCBOKg(L?{R zBRO?z5Z7V>lXCgU=mFp55M*UN0+sCGTu7tyqoap>x1)G@@L$@{767LKde7*Af6Nz0 z2mXOl$!%Em+yO&I>nB~Esm{%zz=KZrG+Jk5{Y0rH4n5F|8P%#fv|wZB!)#qDU#RFUwQzFvlG%F@rPTj|oEDpbCn|429XK6tibv{P^f;`U$`IyC_ZD5k~>B zRxur&DyCzUgmq&rMoQh7m<~(qQd8)x^VyJ&;wMK>kWcx=yGjUxi@**+x)c#;TW^H& zr6(J<*AWp@lZ{W0zK@>?ope;f-J03%@cfY2eF!}*<*(}OXGh=J&-o%3W#}60?u9f4 z-}RiUxA;3AFewDO_2(82^*x~ zr)yndM9moG?#9ggn;69_)s{_Ve0(h}m11U! zUmQI(zU1Hh_dsmdvLPpe> zS|tnQc?pD$M?m--1jVi|LfKSs6e6<;w>%<QhB{Bu#(kwfQ%eEuJPc;vgW`;($ZhHJr?dgCb2z?>K3P1rOu zPD2GvqBD3$P754YAU!!eHM(#wQ+H2hldIq2l(}YcIhmj}lTo=v)zzSA{|ER2X5dzxD3kI~- zLvm`@TtX|k#V?OuJ$%KP8}MTJ>Q&9F>l@H*nj3uemEGf^FF8=l8(;HJuyYzSb2qSQ zcJTE>fc*_;da$~FRYM!ye`7JH>A^P-0s6Nb%vUznHC?PguWr{=`Su~e{*HsWokJ2? z7&_7AyN3Y%d;Y1G<}G!tZMY+3Rr9)abxo_CE)aRXe+Z5Iz?t=}X>7jK0ZmIBKRg7` zKl0^I17k(|ljKW69n%I!Y9pOS8rYZ`z!_70okm|LR&a4|^dR<|^1Z3;xDP1Xr7bFo zQ7DPIC`sSK<5&P1ZB6-sREFSkjFuT-q?t(xqcInR-c2Hh$bz+IE*@~t8L+UiuyIT% z#SvqbuQ)MNN{tTmnr&L6qwF8$*KQ0TZj8)Qsc>kHTCIo$nRdoKNEeBisRT1-<{Ll_ zjd_AwQ*F2wZ2gk5L|~*=9Qsfmn5v=|UDhs7)#~b)EBAHIK4akmnjh$^H=L3VIh<57 z2&%&>93X&I+t-O}CMka-t!f)>&q(0UTsrUrf5MTnGN_PF*4(1)iyt4tIQWS#x`S~* zL~6!oW?(^SGl`_tP%Jc(a*c;QlXhC`8qeAljhFD5HoO zqvDO}E^RyO?h>1RdI)U#nSaaa0h?Cm3i#qcb}MclVit9#Ae^0>Q(f7z|K958pDi@j*K?Z>t@9?5)crehR-8t}qaxi|%s9PLZ;DHs*K2;e8+(WI# zS-8H^_8cW)V&id4Ik${q>EL)xk`zmMFfVk*cSB$eY8lCSDczca98plaDD-<5-MLZx z`5~yAU-)8qth#Z~(K;v4F=qD6Xezoo_TJ7sD6RC=Ihh-EAAkmEE(NF#S$7LXrIx}` zi!kw!BL2IDWzy+5Jg;Rz?L@H{e*UB;PKNrwJOt|h%AaR_Yr2P?b%mY@@)d&cuiCL| z26O8cDlYwdb6z@F!Y!vh~dk+ zA}!WB^x#iZkjHTUA#h@kfAcMY#}F(&r?%MHNO%URCMz-ASwSIaM0M>PT|s`X@}RcR zMK4>z7roH;9+VG9%-n*t2vj$I75J!Ks}fFwApLX^489DaOP|6G4KwWt5uMB#fgl9c zR56s@vdU(%Q;Nj~IzDL|oU3@Gnzg}o)Nyr#YgtD()QUku`GX@_J^-@AIJBh$Bhcy8 zC)(jyFq?WEuxSpwrVwJ`D)8s7Qav?{or?;6*sqyMUfj$^{9&x{enu1&tSB|hWtrG^ zM3!-to#{RrQS<}^*C)pqQ3@b@BvqfB4fQ0@3Xm2npSJ6%ow{QVq_)fsI~k6Qy)Bwk zTI_bI%7hO6uT!s5H!cM?)a&#s3}V=i!EHvVEPc&K7iPDA?;#B0eg4fK3I;LVm_^GA zTj9cB?4rH?fi&%yfCD7YU3#Yp!Pq1Zm0UU!6#)MDKd4Kj9S{=wj&pPaj}r>g$whM<`7IWn><#-1!>9{Di>3xkAiDLg_NjFV7$~8ptRW3G zRHpDS7S+Vss7nBb2RLHW>a0e)B7 zCQ5IRG_}_A;33fYq0q&A6dQmm|MG*IHV;E>RR~K3M)8z74Mwj;Ix$-}fVyT6#1JV& zZqg>+#cGcZ^n&kNw^(+w0% z3F>SBm4}$qSAZfr>6Cz{6E|{9qspq%xC_84Nphf8R~rW7Di-2fb$|ijTPGmWGvJ}? z(cwhm+Nj*~2vl|fT}g_rE$dCkVH|ZMp6F4;$7N)RA)!W;A-05#p%Du%uXZo9#hCBP zuZeb}62TR9) z`3n_fu(PpM9b$Evu2^tDS&<>mU?bD`=(ysxAy}SQn_#LcKq(8Ly>?O@sa%03IuO0k zz849XngQstv&%aGXd5aYfAL?aM{$FLX$~<*S+g?l$b!;TxO9j<>%ze@9AZ`G)2{kP z8rx|7^|%v%AWMt(wOR(%q{b|zhhTfFbf+3CGhM0G^XZ$-p@0u$F`KN-ee@9Q&||(F6WAe#ntT<5 zwnIkGsJVL7_JFf2q@_m2*yBLmg&0sy(E*@oF;KnQ4zwuk5}whPw*)b(3mO?7kQ#M^ zRg1dZfrSaj29;z+yGzm>s5lL6?jof}$?ileC@U0AO`Vz|MFS(mI3gTF4+M)0T@!77 zR4{mYv4TEh8AiqmEnW1?=s{xa83??)ksFU6f($+3-||R_44EN28hXUnIL0WXx<@iIN)PHj!R;^6g!i2 zbTFM%HK7AoKHzU?tC6jzbPBkp2Ux#|!m7^HjMrKhy1WDkD`E$2TGLSoLl$vVR9{=J z+;HxKi)G+o8TD&;qFRo`MDErfwC*jYu42Bx3cPj?^WuZP~~Bo&=a~=z&*NK zUG1zdhL7)-hecGv&ZhzO3W;JC(Yw=(Yk$0Y1)iMfGjdA$cE`EEJ;7) zJgZuycBp22d?wp_JC$_9D>7y}FR4nAixaf%wBY67a9Gne2TUYO&#|V5nmZtoEV7Z-#_F z%H1A;x4jcG!o$1NcXll$PwT=(XqG}nLY|c|sVqT`(dOt!mSojfOsJBEQ*x3+PaE;Y zYZ?gg-)xH1wUJA7gMj|ht~KmxeAF4M!2@zOgLc>r-`?E9srapj6WQ}HjmfI3?KUc? z&VN092(tQ&-x65%m08@yn5AnE^1Vw_<_<9Hd$ND^FRs#zhq_+Ta<(<{4(s@)>ePxv4I zu?WR(JD><fNqfJ1 z2-@-=zW92eEyIK)#b`V#*jUV1L8DiG?vve911FdXjBYi9ZM~rv)3<_WMssXuvD0{8 zj@yJ1e58cV`-J@_xHs-PCoWdx^CBom69%5X(^Y%Mvr|n4zYU7~r7QEJqC7ZQQ6#il z8-d$Mx6Sd?!9dYcLY)8S=s7Pu|F(R%*t&-3_u3@uq(GI zH=u6C#sUWaT3SOc4c||_WC@KG`f1e53aru5Z~Av~LpNp@DSV@7ZGC(Ca$4jJGD+NV zp(%gKhzx_?3?~-!W$21IeMu$T7oABbMX)&7G1%Rm?O#OY3RxW4QLUxas%}h))aw4s zcJKikA+*L7RM`ZP{9lA=a`Pd8e$?BSg>v2JnvU z)BF`U8yN1?{1px@Po@h}x+A?p@XgMkpM)O^3eAs|wBT*Q(vZx+o1u1C%Wlt5R3AEh z+WgaK1?aR>)MJ5qEL4w0>T#xeEIy5DS5xV;RLa@vagKVNs~+c_Hk-?B8Qj{JYRwMx z?})St2}7%dzeX9`7LasoxI^Tzx~>jrvko>l=tf}CiiYZo)+rDOClpDkZ|dL*G?hV9 zJXlakb$dP~VoFFi{7{d0IjE$_g40y4GwjULzgfhhVW}-tb@iepDZWTS=ua3)n3}%y1K35@_MSHmU0O->PiIQ*)j0q%AI8~Q($^QqB9qr z6I-3@gR1xp7MIw`bTJ4hHlZst>s54Gk&5eqGZX$H*y}`M$n%aeP5{lZx8b`ixES2K&-133^wrsnle63N zPBJ<56r9)ylhLNWmD-4@Ce^;*^QN0JrFYCyi9McY*Kb?1TM;K117@%19cRGAWbbn@ zsq4WaK}?zbPCq#4Z5yH%3WUJ{&pXz}V6Sdyt>;g~rCTZQ0m{>Ey!I*iYoL^O(DSB6 z@>1FFNH<7YHNkIfo#WWj=;RdCxnPP7=x-U7D4u86aa$k0G!*`}r?mwKqIGd)F_0J0+><&rYdvMi#zot~m z^JW^dI;Gr*Pg8V;!*{1>8(n>B%FJ{c)i`0M%Bli4$C^?nd)^7QQNCvcac1TgJX7eD zl0we4Zl=(w&VW^`5wxUYa%Z_1b34I$jVW`Q!#xE-->qc|)H)ng1wsraQqOkUiSBF| zFmoI*k^3b~k-1J08U)S3(R)*5p2Kq|*4<>!_q-W~uuirUmSRet?owCX7p*#HR1fv* z42QbG84d$tf#)4&8ly?3NnhxBlWlsw&m=GMyu(d0hi{sUGaWb-Z)nmNJ8&@LG|6W< zByd6{O!nCh5p}lN1vf>`aUf84RmWM)Q?Ji;6oI?iZmOT>NK9z;+Z0&hz;~9TP4-e} zjFc`8n^Mc1u^(O(F(sBe%{Y^1%J#&VkH)Jshg6&eWyxZ8^5^Su*=4sLUQHO#0*w=Hb}9?98e;I-rkFim?eXuB2g6 zl^9CI;B#NXJJLu=;7NG96M@Evb0fU_6M^wB^&z}HiNM8(bs)UGiNJ_QJOgi^(|Vli z;O%#?4*Ul1KmwM~Tm$1c4c-Hk5#up<2NQvb)UJef7rX}(VQcRC3f@DJOxIEH9*zJF zyaex&MBunldsel1k48{5K7jXF1e(cc&wGzYuy8#C?}>zWgxlvjatpjC6M;wR_ypcl z4nIpB0`KWW;1D|1Lf(M)3^0v%1-xgSW*tAkd(P>#>jZeuJA?{(0Nx9coPdNcIwBRZ z{oYFs6~l(#d)dhfTYc{z4%)iggp9KH4#iyatn57(NhJbj+$rK3doDM_;iFTIEj<^Q z>9nQsaLhaxIXMwHzDmJ5Hl9nIQc}V(@Lb|lXILp1WZgMymWyJKVr){f1blh$5M0N{DgO+87WSlW5v1H=`Q`E zCY(#0;m|Lz-<-7|;Y~Dckn!fkg$ZwhP0aUk+MSVJyaj{b!2Ak8) za{B35YtB2{p;5$CbN)F_UB^yy-nq^|b&WJdq4-|8XGp$r`y)^CrR75$oZ@#Gd=lDgW2|cU#_zypYP$E z3qY;94RsBTbt@Yh8xT{pdZqa!0O!|%BCYj}^&9w3h)Cv2NJ2|%^V-(Bb|BH#1jjEm93*w5f_ZX<9h{bUkntpmj97pXa;2}7^!XQ{bFGnFX! z?Jh3S0u@v4o4Z`#l7x3yZ!ICN{cHp0x1mF&w?A@Ld%~*;?knY_^^n(?n|e8K z14g+qpmZfCCtjNHf=fv`Wh2JDb7MHCT?QmduMOv{%h6)Y6`7oO1x83D&$;%Ki(Cn$ zY_Oz3>MGDG5?I28uci*$o98(x4Q!p57*4w;;Y|)l4RCS>MV*j%PV0a^4DHi#YA4!{ z1iN#37fOe2a^%!3an{`9ukK*v^loxkVsB66>`myo8<@w5o8g;QI%~^?a*pq1w*Wb3 z3n8vOQO;>u#p%~NLz~kvzB!`@j15&lZvOyhZG~WlvdqLw!|ZibBg0f1r(8Gh=yT3f zR=L2LeU3G@74^*)T;Mv#y|)EQ+?syB!d&LaE=`4CenXBx!5?Odb4*X{)Q9R0_}(#U zdSp+j;-ucix!x}C@MsE^AN0M$Rrw(7%&Q6BsmwOtJ1$x#ZDUcrql3~xD%IO=`|gxz z8RQsO@5s_Ls&l>X9T~>I6&s%3M1wp1qH;TY?nU?H8ukc#{~c`AH>T<$Fgv zCHcGDE9=_oy~$kE{-&}!eeYORwy8M?Z>GzS+Pou5lBmWFzBf_TP+t`E#;GUe?ee`N zRG#H?q0gJ({Gd`d`rcuxl#NF8s_iS4xXJR=8ghMi8z!^HwCfZqU$pHymzjAJoF7z5 zSguCC+d!^k!8CmZ%Nqu%83Zz}t0 z-#cE>rw1$lbUxBFo9+On-jvv6s(GtzC49fMH#z*9%HC#M2}K(bEhyH=n-ZH$HE*}A zgo8x9$>HBr_BB?%l%vN>_NzA2t_miqxPiHIz)7MSueH2y=*8A|rl&18FvuYT-r>;{ zD*rm)o1&=IhNy#fb#YfiQ$w3~SR{c;-(m4_g`3PzMBX`xYP`Oj)Xb;?4 zT(8Ra?Fhy-{i1Sjw0v-e2{c%?Kiz|6GjB?4GSz&O<%7``s}Kn>ko6{qe^c2vTkT=; zm{+iyJ=bo?^bBVCCzX7QrDvcmE^gicO>b)aQOY4&e zAuX-bsLnfmZ<6YT{>HR7UcXT8yDSwhHs8eds_iS4c(>&hjrZU~#A^Hpzp3nd>BK9690*2;-&g3WY<~umu$gVWYBNvKF=^h|N2qB#CN#+3Hpu zTg+dmcg27i+y;3)5_m?l}x5bT&x*4Hr0>xrE-@LcBWSgnY4qd4~)$hC0w zM=X^!CeYu+M9JnxH6|7K7A8t11zerSjeHvzmXuQJj(~gz(_)(qFWM8;$?Bx2s_D$* zGp2O!VnNi<&XJucIy*U@n7|uOHSqx>Vq)bjCr?aDiU|oZF$T7nOm*$fa_l~lCl2H0 zCl42uA`T~D*s+MN#d+e0q&O@g4zJjdIFhQ{c$AneDNh{5m>gL-_C*|>7)p9$@*P{e z`;wlY^L8h_M1Bzk%~V=TM8r%i?o*QDsDwDW0%GDA!rUSizgy;sV;T8lh7HRRQ%mvp zw~QA3X-RQxLQJiUoj8tqV3#7IyLp~Co^e0U5+PCoJE-CW!aB)rF33KnC)-Pe2l+ww za+7+{ymbD)HtT>Z(Qch;XsVC3{8VP0goIEi^XG7%DPcwGhXOi0aVGa= zQKk4$v6y;etrU$Z7%4VZP&qJGdt83)O?p-_LEg*q4x(Sq!a?>+Ega;&60aft*q4mi zLBtrSWCJk{%I}Y1IE2S5#kwkii?x_%CB>NuvA8U~#n~n72x(wXoWtxmJH!sR2#u3- z9pSR1KD1#HPrAL8Xj7ugJaJx9oRbjehPv+}FP4;yE62uoVkviiNoZUJ1*q%G+^!Gg z)7v-aw~{ah>cUE-r3`veRtV9F5~UdkW{J{-VCv#_MVj=nPP6OX6pmd@<-LW>zRbRPwAfEmOSjDuiM4CAg; z;tA*;AMfFbhNM`V5Eqs~UtC0e3kgvuP|p(=bMGz+={mOv^{>(CU(g@Z$3qge_->)U zv20wI6c;B%W7+wbi4v%@gaBqAelXxndDeRcVhKjIn(S1qp5JzL^PnGIVE@iINg zBt<$Qt|^0>=pejpRoWTQM~=|>PDZ-J4ss`##HCAN+(YYr$pKs$%I2I_j(Pe8lw!Om zwO?G;oKq78Z!gw3)KE5ith@nC8n!gF&J)?B=uC*NxL$~E>Yp8+1m2vRLI*c-2fMBH zcC)F6o1Gq3*^b3)FV(TK^Gek*^t&`*5527rtmlbbQfx|y&9R*jTd055bKwq{vtQ`o zwcNoiR?~#?sfRuPdp#^0Z0L!tNpWpL^u%{V^pY~l3F80NDQ9C<_ zB%~3aGl(Je#C1uLPl&!ymn>SMpSonlE*g99i2`@3-zv;V3F_7Wb!*JF3=hA_1wOim zPg`*K0}aG#d=C+ytLQ)hcyxA7gBdr^zcJay{CgipZU=VNz5PkAhF;QzPTbz*9l%cn z92E4=2T=HW@1QBe*G7_cD?k5*c?-{fVbaF8!VACvq;sFz!giUMw$M%yj(%!OZil~$ z&cM0P(&;^pd+POBQ(DDm;FzaYmOSI;*ew-}fpebP>f3M&I^bDpvX9f9(NGK=^x{=cto)}DuLP89b6CbgSgsXh@?JQf{ z?9?C(pG50=5-lq+(C)*Emn$sLaglhBy$(7&;j~{SCEqM8C-BIuml7W-3I8m{^#~@@ zHh6?4(^f55SZ#WUcw$FVY)^>m%TP+ZDn!Nz-k#XWWPFt+W4Hj3@dhGevK!ZBl7&nR zV5S!ymR8KEZV<>qo^HA6`L3kcnGiQvoWre(8wqD?2elVPN*{{yCPw&1Th+-WY>O4u zBoNQ=K|K_uRXZyk&?6H=`?F9fHS|$(+?GYIJ`{_iiNL$EK0&}i8_-A`jJob7o(Jlu z#C3<(DOpj0;)$Q75?KnQi^^(o=%G?;O=r)`2hKwo#T^`gE-E)%L#NKe>?EZW9vx6a zWe*=fAH|&$fEp@42%v;w#uBv8Fk=u$$~95rBBVU`P`MT}_xKeKm6slbc-ablWcr3< z7R9Xu$!>`{t?_Oj0#61J-GIS<8{>8B z2#(H++X>`|qR~9@nxwcbA#NWI0r6UbX~oV#QytOs#OoNp*V+}FXc3~?9Rx)0rdda! z(}da4seW|y^-1x%gt((@TjC7_#ga5e8a?qwM&=EciKT@Ioi{lO(yBjb^sxGauQd)Q zjC-^Fq{fHi`Q8yy9%iyKAz(Hugz`b{8W+ip(c>LgHP3Ql@<{1w^AirhBacsO3n$&lp!9xP4S?U4C=Vf&1RcVcRePs zkHR4|CaMoyDmldjwuBB0f&6iYg+TP!gF+y8r9(oVczaU3H6h+so*v@P7<##< zPd)Jtrq`VjdO2l?Uhj11WvA$da3D^?()RDlHwf+@yH2zBIx|CWx#^W#HF@qvW+U|E#Khp9&qikmaap7;oN=fjce!QCX{ zuDHI?N)a8AK6KeD8MGLru?Gb)O5HPs7@W@WKw8WVogjqr3k`kfytttcPcwGtlebyn ze4r;jniL;Nh`Zv+D()tdTTf95xm`7YroD%Wez(=MkrE_AAB!hD@9b0@5+fP#5mz}l z*XfCmC&fJp@v(Bqi%*2cN6DFWPkfU5_6a*aqD824pNi{T#a=)}S;-;~QY;Hj8BB$6Pc#fPx-mKDbPRc{v>|O*PbH{Wh zB)*u!#ECB^F|p!{=}RT?#WY5*f^^|%9erDsW-oE-1v3}j(QvRjU6U0aaG174oo~Hs z@ad%ZWI}w(YM7`%h|h#Z3(cKq?K+d|rTHgD%V$}lK4V8qqy!1n=SZOZjXXi`;^>sb zz($UT8$di9=DGS-UJvu!L|-Q@m`%t9AJL-e?YQk39NFli8`z?ARTDA=wnwwfG!~36 zFNTnTnCUV>ZKDmOm^`%#4Y3HF3x-rwu!WG3$cbTyhg~KhW`tsxKA#kyO^DCgfgYi% z_(F&)RNc8J3S9XjbL9(`E0Ge!l`pAbjtD%51GIGu4#2?K6kkk;FWG)Y@Dg94epyjtzRG?2ifzovrT%=)=@0b*T^WmOQ9CzNZ^rW1 zF-X|3AWJb&M&uxCK9 zwFCJsIq>g^Zzsh!6XILLbW(hWC=od7C3m-Y;=4?Q?*y}tXc-dw@3C^t(J1yCY4b8> zt%>Zj5kX#~RW1#OU}_6umi6@!P4vBV6M&q*@Q$I{1i-i{vk8DvQ(p0U;`>SQ-GumF zc_N4(5Ru}HvL}AX)cJuOb%Dhuviyk2!p9}-STCpCta?DNW2lF2TMU?b_=C$1(CavX zqLIu3g}%q^(?d^`T(tlVQEt4EaSCzHxlI8|!iJ$RUUWMH6vZ%tN2{@w_DrBV;)MkY zL<@_v7enXJJn`eC_+di)$dW1Gh4=|^(E3k&%aA92%DnrDrG&mYQ2fl%;bXR!kQ)Lj1~YR@_U_ zBl8JQ{Dx7v*Ghu9DdS{*#gU zljX9y4pjV^aIrcbW>7nS<9pOMQ%jv$$4h`Ks9i%if`>=H3`#SPM4^*r9WgzG5{ORJ zpvfz#R%nCxaSMH5Gsu9z<5I^mpbKJD7RJ9`6?D(_(rwNmD$*6s4(l@X!ECy?+0vCp z51hSN6$ekRoKeC+xAM)bCBuU`XZ)ZlGrX~77V^)qOQx4Xd*G6pJ4KvpM6qFJ5p^$- z!!}uo6NbI9vV#H}V>T~(;T-nIB2J(u{wpc|Ga>$Li3YI~e(6_$u%=N)Y=Si8^+Bdq6Nzwlqu_JuYETWf(da@L1pL0F_G8znjW=Y)uPVsBF1pAdU&Cmh;~eZ(`X3PP8N zdSX8_ZJ%x0%_goLpvqQfsrd6cj^I&9l4}&YX3!H4B*p%OI1t;SI7sm9gcf}mzzVPe z2=RN6u{mfZG?Y*1JTwAyN-jwB#KTGPU_v|;->!IsfLhYISEYL5QO4*IE7VRd;q%xC z@Nq9@^u*&y@n}Lk7T273VmN4?c#`pX!p@#bIYMYXMPqGjfj+;q-OqF!>E{wu3D`GGZrJV|NJ?w-PmWZB) zm8iI!LS`aFM{;M#Ohmkpr<3Bzgm|jLs1(nTB$dO%6VI{~J!4m;!ibUtJ*Rv)j*xJ5 zwO%@B2L$sn&A&*f)n3b}m_0FQ^b&>mf1$?1z8*v_LgnX^;@O0Ft_%^y3q)Z%id|2^ z6E8A(U$9l3T%zquMB6d=k`a$hzUhQ+3W34fp5bx1y@#DU`rwiZt;W}pXmH{-8v9*9 z8lrFp5mG&tQ^|eRb2W2s5RJd^8hbF}!nX#|nws7hD7hbq7SnVfuDKkDW?^dJ>I;D$ z8{>^rpLK!~e>o{$Oo*4_Xe0hXR6Eg1MakXJ_?`lPW$E}br!YAm^X{o}H$ZbHX5Yt@ z-T=*6kk@IkH$ZbP32of@ZO(+yMo_Xr`kYS!Tzb(nXTjY*zRX3>oDa8qO37&y&LO=T zz37=Uz}IQ97d>+>n0!p>MbDfCHXj|m=$SLXaoCR$=Ik@PVlb~gfjk)NV^Ppi5cf&L|5ejxvTYGa$ zny!B46gc09>F5iaM*g=>b8c$p;_$zZjRb4hYH+|$jO0bDQy8ngCE1{WY5Gx-i=MeH zM6pf|E_&u93Pwfv>S4}h&o(^;s~EoU*=8Yr71v49P3UwpbAwHv2`i){7$P|*lftWC z)zH?^+!U>K3TlNefVS{XC9a1rfwpt_$PfJ z<{#D2D{zN;2MW?76;$EIoeOZ=Y*PHwlf&sLnIK5;DI+&$r8E8g$Q+>M3@9(_ zhVoQ{j6CN+b6K6qIW4O=oy=^=EdV^ufX1>WhAZGtzk)^jDpV)fRN<=7SJvg{s%q7r z^PsS-f5ds(o#RYsE4x`|E9zx^E&!!vw=``5cWIwXd6GWdBNO95tIUpqOcE*K{6t83 z!F^uUv{m`7eS?UMNFyqvKs-$Ib*bqE*a|G4yO3hTDzF)s3Xe_7F`i7ub5M>Wlp=vj z_L~6^lJP7g<06{NmLTzyRRkmUm^T1aGk~hHrcBmQ?U+kfPs)Ln+d8P#?>d6HJ0*ls%@7~jr61D5lryItu&h~5(#-E)r!#D8d+36 zikm$$LT_7w>3uZ8h&ecc>QlJ-(PgZ(Jce5@W2aI5Sgw9dB}*=+5{%N5rKmlPYfp{0 z^YS>VS8|d8)sE+C$Hh7U@&u|Cf1&|Zr*qX4%DV~jM5-Ti(gT%G;>stM@gii3@s0Ws zs5gV_rD9zSIg@IYKcI^0Cv)|gl^qdzih@(_7%1SJ%5YAp?5@aJ1gGrDLx4Gr!OW`Y z)5uza5)Icw<=I@hHqPIXbEr;4(yhmXx^ubioQR~`5-jQS2u4iA9IDUf>hsFDO!9Q9 z=>!v^#u;4W^oS=V7f{K#SXfk9$W<1U^R(n5svC;XL!~ph(xMU~8Ra`81W-l)8gp*y}PiZ^ia3!@&Yyokz%*JM%p zVlI7AsoyFaseE+v3^mqqjm9{CRyJ`PA#WBnnz=?(sh2BTxQ&R9iyD`3jg~m?SGH1} zGOPBe+QwB|D>%opogjo3_fc;>*K05FnB@j4T`_h9wJ+t`8-{hOf~ut#TWl zCof|kU}L-wEH5X((GA^^fW3mjULNN_%PR?}^+(t#h37F6s8=!6E3Ly~>axRfHG#Ej zj+})*{R1NboMymRmvP_aH3T~Bpa%~8NZ@A}{xy~60J4J`2@_1s0!Gq6CpXX$#xa;g z$SwjNrkkEdj0Ad?p?8&?hRALLAI_%6^+aSOO>E*Ox+_j*Qh^aeYdCWsPTRqpgB4E6>; zZ}1cIQgWAH)sK5DwqdF$Z}e+&{r1;QoL}^7GByxd3Lhsgo!^qn-0TP6myx$nD}Ck@ zF!I%0;#R-f-nS=j^C#h+jQK&r?Of(HzWW6n`C5O{V6PK~EMMo3nZ2Y&-hqy#&h zWCK(34aD*{`s39qOiI3qe!tm2!mPaz^Xc?cO1_11-l}*XW(0HKZN7hne7iq3HGlq! zl)TgTPm%BN$ItE04h;79%6IySE9S^|`TkY%-M&9pzQ^}d^1Z&_DBtJ%XUO-{&kq2z zo$`aeze|3|Ph3?aKkOfSg;`jDY#`t!m8H+!BkLpnVq#(>7vHe&?}jigNe$%lDI96t zkwW}(Z;BXQV7A`H(nk>&C<0OYs6T;(9m2>z@AfAdNmYV(kAFB4Xlt;4kar>>6d&`e zD6%P)E2QMd{bUaYsr&>HHzhym&m^?!dpU+8i?7b7Ld#o(Lyi2De^9;=(4#!dD2|SN&-UNp+D6uyaa$jY@o- zfo#fb%cchV0Q4LDyER)F+)6`Rev=A)%lB8vZ~L=rTY9qCdr;Dr>D3(uX;K55v#Eai zhEQrD*p!mr@u#FRyFO=h$w$d5aPeI002K>06#8IX8BXDZ*g}Cjru?1`adnt2>ElWKh@TcumT`{!61HK zkz4YY1Z8>&6qjEB^(zMT%i;Mde@*ZLqFb!!IsgE=mjV5{0_){(2!i{42vqwmSNly= zB*eHOQANx2{r9P7!4p+OA~|%Qs2SQVCJ)^&jvU$}jv3l3jvLx1P8`}VW)2+?vxXiJ zbA}Fz(}x}ui-sN&XAeCrmJB^2Rt!BVRt`NT)(kx^E*g45Gz~o|T8Ewz8-|`1mk&K7 zt{!?;bPPQwx`&<@TZUc`y+bdG{-Kw|wxO5BtA_p|ZXDt*10ngD61VbmhIlPMXNouQ z^JMWBex4%kUQC3&k(^xk%j0&ojmE`MFs9iJxbQzwq;H@i%^+BX;xiT(OUz=ZS;- zTp}Lf=Th+mKbMJT__-p=d67JhpBKv$_}M5=;%Aeb$_<5yV!OyGY1^m2P zuHh;e5(oCE=ipwH*r(pN z?N`t3o=BAxQ(4#RqC55j_yJUXK&8LR6Q^j1JNIJ1?!$o9&~7+@f%^ak>p=`wPtv_TRd@r?$%CCC9xNySA%`ECsyAFrMR1UePKXHR=r{$ z#=(@26mh7$?dwyn{-d4?#ZhkfHFG>rLAf9nunmE zABF<+#Ks87U3)NIJaOfHP}{p%BCgRA@mgK-b@y{}m&W)Gjl=6T4sX~8h2x3My7V2o z*z5N|&+LVc@kCF2)+xy9D*+0v=81s^!8k2YH#}VPfM)X>A5{!|(_`v6__%t$`3d!W z%aiK))~D2S+tcd#wrAAy?a!*`ozJP~JD!Iv^2GJJNAKJVvt-0&Kh%Jx<}NK4?>Yz- z;fWhG&hOUMAPz-c@(x|{MlC__(e#(PFE?wsef=Zo=A$6cV^F@2LxDd5!}ugx*NETn zG?e)>P}t9+MUCvP=Yi1+3i0>82;=w?6#UET_wE1CO8%spgJe@vu;cWcQS*Q&Zqcgf zeV({ozrNoScj(s-c;ZdEBk$83eZQu`2eeduQ1|Xbx_2Mez59sn-Cdq|n`ZGxHEr(R z11qu@7GWQ(!G2hR1F!;~c&E<(sBZD@K!)Za`@L~cJn_NOWGa1E2{5#|57ucvEY$&6 zr3d7qp@YETLE!KZaCn$;_*iMRIe_-5NF%pv6z|a}N==lz_oI~qXh9R>)`Rd99z<&o z!H;+tzQQAD@lmw+7+QQBEo#~P*pslMn!O)?8kjx9qv~^-wl`^T@6oiqN7HtvmYh2t zhFR3Z;G>ViG(HA*%oAVISVGC4j=o=rq_1hN?bMKOdjLxNAe8olumN^VDY>~(L%c~3 zEU5?9&3a%Jp8(h=;V^mPTe|^WlkO9HfPkjUC-=cVd*XYVe7o+4In_wLNf#N^q@6Czdpz+YU1ZljSZAGm+W}~#2VlL~I|VQ6jfK0|tBIS9;v+(pvJ?-JJZ}xa9lc zO6~zRd(jzB{887~wHpXgmM8wKDPc6s$29lvd=Pj(1pWOm`lGx3=|>@4j{(x-sQLu@ z_au1p6#A!?9OL&an56YZ;d$|wp%=iM7lFY`a8_Ri(>(FlfZ`pXIE@rg8@~8Kn2ZP6 zL>L{oYd^3!0QXkQ=A9b5TeWO{>LIvdTBE&JWBD0P-4AI7eOODq)r3nzHZE zm~YeS`LoZ$rPtVh?s>S&FTlln5$@efaOpju!EhTcT}Gp&PoJw><+&Kg$$;PRs1=ni==#uF3LU`-!&SFKH%xK{Me7 zjnNl%ufL?R`m*lzR}fjEhwTbaZqzck*^`$CuQ2{hNu*vKyc$0MsU1OTSMb^$yl%Fy zUNY%>W5*=N;$^%?MksAwt}!ne^U`Tvy39*f)%3k_^kRODuS(WWAgZs3Ev}>o;V6GRO88`)$^$-3C?)>7{I0y&m60pdv+={M-4wW z&AdH-oOyfUczUA>FP`8lP%lka&zDbBNd4m^^(5M!lnUNcGlKUSGlTb;Co6zd{^V0M zboz11sm_m6XE{G+o#y;Ft=9QbJKOm&dyeyC&Rplm+Fb8hf{?s>ucc}s%# zB})}IsoAB=e4G^2Z7o|Kyf0sYD`0i{iu3&>PRi+D=U;#-=Entf_)(*C>Qfdec{5xp5eSIK(>o*|N zfZcE@l{6H(bR*X@U^iaIKYauCvdh(P9vQ@*%dbF!0d>Wdlxsj;c@>v6psu=_f7+T? zr}5h;7xS!2j$;|@@CkUjs(MWISl|7>s(PG1#F?3z31cRVO_=|yCL|I=zW064OVaCo z^y>eU{?X6f>SxjOJo!Fc(##dW@(B^8Cjsa32@woH(g!(l}sAMCg*=mEWt9-y1hZ z^*b%UFMlvz{$O%)$Ooz(XQ_H=`9t}m@$yHZEY)UO{#gEGy!=ThOEr*|Kb1clFMk%w z+QV(9<-!Xvi9n%U&>#Nm%j>S?bBJmmiLaA_v$R4G2E}Sej|T7UjEkBLN`^n zxZsS;yMH?txs##V{3$J2Y2|MWO<#BtTS6Y32&5F?Gd1Nf-L z@D=3$+$9?Lt+aDvQP@_|*(J5$@XjweLc?GX=e2$kkM}hPt$yQmd(tI$@7}yH8c1 zrk1Kw^m?kz-)-N92!1t{so_psL^%^Qv#HVHVR)cp2*zpxSCJ+PJ?=Ym=YDFH-bloV zh}7z7l*kfjiDYQ_*lproJJxz zEg<(aC4$pP1gBXMoMuIk@`<{0DBDn1zd-~k8zLC0PA#GP(*kLj2DxL2qSP89$}|=l zqO*PjJ*Tmpl6Xub5u=h+2_mM-5VJ=KvHgzI3Fo}l8ZZfsTxq^ROr}{)1wONwC_9lA$BE;s#PNZcoFJx;71NcN;6Y-72Z_ma z5|im9CeyW;KqpMsVsHw*s!I9IQuJjLjrpxc4M$i#c6dZQz zb5Ey}il1&JZo2LmRZ^DeF*HdD~STRF!9S`C<9>nz-#Pu1(^%()z zX9QfI5paEm<+^$!a+qvzeTL%t49j(09$cS6TsK95xLcpOK7+VELvx*qj!&S|Isr7& zZ~G`qi2-EOU9Qr3VjCS+*w73q(NfHM1H5LS?!0T;hRnSwlA}#(F_F${<-o za7UH-phCk}fOO8ViUcyjiex{JjO_nc-q(QXd6i{OzRZ`tO(so4TBx`#lys6zOWKAq zEujoG&>zwoF+#wIaf~!##E20`j2Q8}Sg~Toh!G=3j2JQEh$BWEF}hiI*3C-R&AM4P z>t^kjb~5>C`adn94D5a1&vVXszi+-TnfB^+;a+=Pzbxr84hhB3jG;}F6C#-o0p4Cgw>ZND(y3O85(m-4?C-kNuH;M;9FCC@VT@E(s zMI68;y;sfUc)@_g(wpLhd_SeElV_$=58HIuq-i=A!70=0rwsh4*AqVXv#5tA=(S@( zvU->;kXd?};lbB>nl)eKV)kB^$puU@y)VC<(mY(`5>v%D3N1wZ|8r;&*l(bN$yLmOM9w!?xh%PgD7ngfa}{G5 z(sC7*D{>W4auxGSa~0!jf?QIr!cKD)%bn`9!~jG*&QEFlrm!R|EyB_q=gUH0J@hfo zXNNw9bRR>yFQ(x+q0bIfgh(I3?epkGi1c}MVzYf7ZoM3D2`^I2t<%}qqYY$Q=wrmj zF-Qx2R>JkMgzK|dMV{4LX}|;B2Ak@!`ih z;7+^aUmLC~!gbm4Umcd$!*V;|&@|xx%jy5i>Ho{4|C6*M4JGn&_x)?laShgx# z_ihN9Hu}O{qpU=YchaIbnPo^do`HO*0UFAG=N!e?hg*wqYew-6VW1uc3`I1|e`uKh z&@lg@c^J?R5ZVDkJCLCr$j}aCXa^FsMiJV`2DGj00-G8jv~djduTD(20c}>p1Kj{J z8=l7oEGbMR3c=`oDA20%3LCBmXbl&o;i67g)PbuHFb}vS1S6JX%JGgfiGr(B|CR}` zwr}@r-XF4?>myu|eFhMpnu(|yu&9Dh44{bBQVKI$fHjL@tQ2iftsj)QbO!c0yft3(-6U6nN^k@ZLdq?{IigvE70DKP+>HZ5zSo6w)k)%8-w{>^s9lvzqo? zZ5xBLa$!WVci^ye3ihOWuxE7wGEDOXWDuVKTcrK4Q}zU2%?fc)vgc~ECw#&wxJ#5F z)n!kFHP8~~PdMgxhkJ@}PsaS)!kT(mW0<2M=4co^YY6rlg1sh#y(WXbCWE~ufo&AQ zj%ZHq`^z)d>K*c>+ArCm?vze%Kko zZc^wSvI@1Xa zby`QA)={T**~aU#jn`!xuS+&IiZ+gH*tnI=vT7Y|9LK=M)ro%gvr`s;OEe9CO&s1` z{*Z*!VDq?0mRcG1UiQx61&@n_!JI-Ca%v({ylUc};W+E)Y3mG7R1|TJiNjM)Q-`p{ z;T%C)OtRpe%5>#$aC;}*FK#~Xvxdrn8b8vqMD1McFpN`~^x~~)yNJs$9y@{m!?wSR zfxiV3-2I+*_j^ZpXA$0+-S4}?`g&Mz?uUl%x1R2|p6<6kyWe_uKOno_-EY~Pus*q; zQM6xVUpt*m_ghc*i(^)i)C-CAd?B&k9++U4_4d>PZzi8n))zbZ<%Y5Od%2df8=TY^w~f#ZX>gTZ+~l5#5Z{#WGm1eQ*-NLh8El)lg*XNpyNHIZhLp645N$GufCCqX zCwT`v$tfIuP>(5ZQ#vb}$DT-IPnvLdvp8Ox6h>cmjD8@zrwH%K82w<_To0QKBQy-# z%>-vN!P%U_*_^@IoWa?gz%h#8L^i-_WixCy6P!2(;8Z6nUvDNjn++U5#)Scncfcu} z0yr(|0Rqa^U>)voHrD`{`n2(m8o)cEK&cf=EFd8S&pU!Y6y95e_htltIBcnhEruW( zBDjSJZXtqOGJ;z&f?G0zTM~js5y8j?f~{;KxP=JDF;KNSQ3!4!f?E=TTo|Bu2M}}$ z2)3vP1Swa8BZ9605cFw4u!9CbFbb4f5kVj!1i#`4{z!OV5#E;({L!$r9<~~SXc(tx z7^hnaT?H3PXd1GzN=xitZ46oHIv0NKiBoNgtMaSSxBP85(^3FOuUBo_uY-T_3M z0+3V>fnA+|z;2!Zck~G;Qqz9esoV$4HY>zIc4WPsgY<+aq3PhTnatS0NDx2g5dT5x}_Bx*OO&Qc}}G6b6f${}9g4B4(FP7X;%9HgUz;|(Mn zc|(3sU(K0%l_~K;?i^?0Fpp!TO}c>@vdbIXco@awWr%0@5YBFCgBzG3yMcL>z4L32 zrH;onto8&uc&k!KjHoA5-&0v&?djB;M$%oQYHz|&UYxj6+P!vzOUWTGK(1?M_i)+C zyoB5Ubu1JWiE3-eKZRJrnR@jn!SStNWUotXVP^v=c)mo`o=JS^ZhW$I6IRt5H(}7W z_H5Erz@_`IxD)w;^hZRsLEn!wgEqn>lVphSEMNxj;)m^DZ>!G4491*EV=CrYrG1ze z;H|dX7^ku;%b0MhTpK%ix$fpO7+6~l@uhg2Ua?h+f1wzqe|?!_b>%0ZMMaXvMLqiVY-kyy@dXcw<0lw*qNVQxW5S;``AK4S{(!r@;1^kw>t>e6zmJ>9}2AW1+$+J zvPC*k{nSEnfTK+(>R087o5D|o4;0}8`9%H6u&o}p*@=pVCn_4AsAzbbxs3H*l5vi`r$x81DxdbwZFM$Y-izNy*$O~AdXk8@+Zbw+9C#F{c_@N}7JnHT{|Jp(1=JYx=Vx z)I%^$(NI$~Ou=aQP=kgKHE8%ygN6?^XeO{3{NWh>hnop;GbGa$Q1Ff5oC@jD(kK?8 zk&Uvcm0jT5oIot%7{Fhh=$Br3H5BI<$}bOH)R{y95AVZma+HTBod9e#UWjVED2*3| zYv;J=#ni!h68P{I(vvQ6K)Uu0Tv$7WV~J?BOgO>b>I9@cpMa9T4ZMpJUsLUXvR%p4 zc-{@>D>wjWx(lx_s0q$=Vx=9#dklvWPi?Lm3XEhWZRpapDvDQMmHyJ~9vPOr%O^UE zLiJBuJ;_FYUJ%mNv{QI&w9WF<8s9dOK{Pf>0|*c-*O(A)R9>lPLd1>*C~*mnEJgJ&lw$EE&-_Ah~SS-uo|{`*Hk zQ`NP@{`0Oi{`u}-{*faD6;~&Re}*gvjGF0c2U$1aliW1jT13>}5qH8rPVha=juihc z&)wvr)-r9WNHqqYl_gk`ILLUwbcu32X)1S(0p2R%{7fXs;B#tU;n*g}k=sgS?K!Tj zz|8qg`%|B}j76_fSyuV0oM>O)wLsdMV_kvT6lZ8_N|K_qs3<&Z8JCZBN#EGXJHjTr z$wJam79!PE<7o8>JIiJ51`6anFoMkK`j|{ft9=&zT2}5(l6FX4ou{_wc~{bjpud7# zi|!i#|0~H=?(jUx&gLms=P5ZM=P71aK~5N1wBsbzS*-;%XHzJ{hl}vxd|v-txNmm2 zkLT`vJa_MN|A3tP%tQ~0ljOY8<@|j3ND)4g<@`dpe|ET^a_*;``?H+;lN@l8oU2{V zFNTj6;iFm3FNFtYhX*L<0m^wG%XuKl0Vm0MmCO0%@UbF%EX(u?=Dg(GL8CD6>LD zRQg13hb@y=EOxK>)$s8md^~%_uZ5lUu+zK(4c`mxq#x|0AMA8H0ivC5C!Fp(-5l4> z2|H7MG>WQ5_R{HWz8Bg_i^effw>nW6?WEW3Ohq0S#^LK7$Y@T%AZ^q`NkQ3~AVVW7 zyOKzJaoOuh+Q}x;YhRTGa2F`4>O6rdG#$~Cmf zgj28M^qb+6MfhaK>9@k}df06^p`pX>CP2Fh(C!S-?hMfG4AAZbkWmCEvH?&ln-061 z0L3v-w>nV(?Iu9Glf!ah0OTETSf>C`R1Xi7&7>&zq3{a>}6bgHY!k&Zz z7X}L60Ti493Q;|v&^!t#_$Z)&og@^NItsrVK3#-QXB2)f?5&5rh5{PK3>wDFUV^cg zVC>Cc?9E{8&0y?JU>HR(A{$_|vKcdb2}T?PFsc&;#$JN4H-W*00fu)VW}E^TR1ZN` zoq!-~o&a2Y0*cNy`@z}id`-3D4vB4V&T)JFyi%{daS&;r{D`y{EF$d&8~Jdry@Ep) zbZUZBybs@N?-6la?zQK)Go+M3aSZOYyoo1#hY;^JI{-(!xtWA!%$qP^@_}XU1HT_W zQ-sfCANYf?uO9Z951^qBprH@!qYvz(5A4f6urK?-zU%}0k`EX~ABb%DKr5R*u#Y|v z$3W}qM45CSePCbm0WJ(5@DBKZQ}6+*2Op?TfFYYFzz2K+d?4+Iol2gF&}M}=$WAz1 zQ|$9=iab*a3uXdUfw|9N{=@LuB78Q({6}H99)=BOG)y?d#CMqZ4rhFaGrq$a-{FL> zQN%a0fp06D31^u2#xYR0IuSpv#SZNpNIHcFCZ})+8O73w$^qSBnqxSbgL=Xo-T?=9 z3g(Erxszmd6l~z5CR+5niyYM(C=P~MAP#%Lp>!Jd*RIPrs0U9X1tP|%t{R8q72h!4 zRq;4Pi94*Q>Mnm<YESETN!cQ`@pQ&r6?u(U!)CE>Nh3zrw{fYUi>BFMKyYRu!qO zw%-khncu!8FDufr)>|TU{CvUT#BJHIrJct~>1iC7T`!Uy-ruCTJZWB98aHM%uRKYn zm5+#eD(hc?4FxJ~tqJ<}LK8$y7>jOz)N5`~Xh&jeNA4=p0^fQJTQ0`EnGH98Pmd;s zigmVn3vRj1CXaG@Y9odj8X`xMm&unG)ENU-6g(KioMvG^)jVhP%=__oCNdQBxVAq@MPI(xu3{0FSZ;W z&*CC|NiR;G!1qTyqNSWUr<9|c z@P`#f6uflP5zm@Zu9?lh5glP>jbo%7#~AYZ9BYK1V~wN-Hs2r$kEU(CXevGWf>nBq z`_Q>G!gI;7!y_u7ts&;UqtSL4j<*pVe$nR$O-0N}iJ2v7dKPvZa8x=a3B1qiy4O}< z(!n1Nnppm=cqhPcMG_4l>&r+uKFx-FXP($3l^RFv)RR6v`~qOa3P)+d+{SkbE&!Kn z9jxrWtG#9`qZ*=nNvIOPgp%s2ku}XN1kR-fj$U>6!U9LT$r38y$lFvf;dvfuB-N$Y zd9wP`@cANqJ}0X`3;XL~za=X)Ojc-^tkCefZ9fyzekP>-IU()O<Wu_a0D)lGtf0h269RgqGLu};FuA^BWw{%b(3a(7+OjAu zDTjr-#VyNXbhqyECv&eXmJUycnyysw$5*>Q{(1O95x$W9@h`$?J&c+^qM<*cp+BPG z;faQaCmJ4}Xih@%D4leaPCA;MbTm8ZXm--kFoJmlM7q_G4ut+0K!ZnQrdUyF#omn5u5-aQcbnf+a z&dg+;?VZ>8nJlrg&WCcZuY;%PxSvEJ|8?5g&vs^oEW5H|nR|UjyhQgEQC?45`?1ao ze7G~~=kh9*weQQlGg=!j!aMEz=R31Ll2@s${R_EwMr)(=?zHy(omsz_SE;Q1QR}4{ znP44b9Ak9gCsRkoj zx2i+T)YO3`%qp9v4dE+hABv;hwq_|QeQ@jhO>BO8$yHI-jq)StIGs@8!Ha14GrDoQ z%U@#e+#0@M7_|pbBy*>z?1ZybHV{non#}+9`Hnc7dcFXykj{)4>;i1!AB2h6W!$l>g6Si z_3-B@+YI~Vc4AR`bQ1e96ALH2px9q&Cl*I}C$V^~8I`;FBBFmai(cJX;K#wLSmJuO z#9xQMDZ<}mOZ>ZVupSPYCD70k2Wg3ew8X)DTpsk}5*5lpJDuPqM)4KrL7L~Fn+FBW z!C26cRLOAAd<*6!#|QD34siE_7U+m6 z%&Wmu(a%`(eodiw+Cr*QCgS47DGs;W1l-_LTg{B6S5%BFFI7nD9?iFpFrx&x{y}%e zNb$Wb;7dVpgG2xC!`~L+Z!`4&A&k|-m_d(*#S9u2GiX@MpyAKTjL{xrw8xk$gM2^c z_CQfGX7+$b8bv(EXpb@9A%bE|I(C=8mU~x`)Zg)r@$Y!Y%svR}F}I5GnJoHZCm(c; z&0NOmNHa~-xim~U=J5zu7=u|TM4BpHNQjw+!(r{QoR!98@$M3DbX$bLLStrgJgPZ` z5~-7bUzdQ|glUi`qaurwZ3(#C7{BrMUYs0MIZr@A);xi`kqK;L2#Gi~Quenoopt*} zg{9?j4K`-iU=1!mpEsss_T$-O_WD9<>#sa;suHi3dGJTfn?vI_ufo$fmuO+j3QB@ zwEt;y@#49S7yV}LMOroA;_rpE8T!e#y}y-vvj8EL&e7V~N_+jjxmy)4keqVs zrJXaYb(g=bx%|BX*U`->FC=U{y@;4_lpDyLqhYrm6}qnxiVDE*6KM zGBNnWyN+TsBHPk-^gHae3|q-Rd+7M>Q`7H)Rmqw+de;1p;qQv@cR6eRr*Nnq4q4Vj z!>oyhSrZMfBn~lM9%8yYl#j1No-UWo35P6Q;skMOoO7Vlp{(v9PnVz0y?AVm1MrY% z1Bg5qK`0*LPmpi`EN09CS;{G`bqTEq>vx`jo!p`jT3#^b1H+-a{5{*j zGZ;~c@)aKC|2h195&k|$`Io}s+2JrF`7k5-FoF!v&WEYgVN(i`#9mqgN*&Je?R=bj zM)CFJVaE629N&jMzW1uPc0$Xfg6%b~)PD*8P=tTTO8wVxWOg`0rH)XkBfdNyP>{HHz9EVFh)>D<~w-BmPA5`|7PBSRt#16QZ3+ zn+cQHEE?|!${E0M#EK`JAV%@R+833Mz9@q92rKX-R)HV!=Vo@yH!(VoBt{21k|0;m zk~~dp$sQZa!+=$ziDANw8@pXFCeUwk(EnTb$0Gb=2K~Q>qxEo<`F?gdO6-pk`=c5A zqZ#|7hCTLb6tO=_?2iI_?CU5mL5~{Z(CMhWfKE@od(u&R7VuHP`X~$`iSf$wsLa6> zb(Dr8U$Rj`9!(I(L6S{dr6uCJ{LPvqfQthV>@5NES_kBRgnuf+KV?AvXE;_5$Ls(^ z!vhcv4?r}0Y(T?1P&B*)MZ*_}X!rv07!f>11dl}o=Y(T+-7Pl2I+npW=8lTQe#~IQ zabpy*J;wbXb4SIgc+8Qh$Y7_Q)K6E21FmDNxQ`iJl1X%FiVKS)-`=b*)lk;S$ISU$ z*jaYS`Hq?AN;=(;{ytOPr6HWfcRitX`7Hs}gQwe03w%|;)!`o1t@LOyvXWOttrs_o zvH}d zJA>xv)?dE`P*Dg6)l3yaso*Xm)fA}5u5!o9P~#(oj!-8F2v;iHvSl0<*m4cuGCW`F zwFp8O6Fa2TWL3@nnEh}^GCd$z zWOTHhCrR#sWM34(>wsoVP;Yd!*9&tL_gq1xk|%>*<6;(UIE|xrmLd#nolhR5lAu6K zPTzHJ-bvQZ94RL%X`nyZnYz*T3@KCTZ1-8p0Cu($3={(tF5PfZ;e)hy3G^QV ziTjsY<{!%vstRPK3KTd^yFh_sj@w;+h6`Cv6o|Gr3CZRX+``QD;{GLs%5;~1VA`@5 zPwc+MMr9u@M-&4acj`*fL4^ea$@Rf=Hvlyr8pJ6*@H%ZU2V}T`nU8u<1r0jGd zjC>;GV)!et3oSNPS*!)t&r*Qda&_lXf23vP!g#=@mr;yL3Aq+)l1fd~FZQPJzrsHk z;h%F&^uNRLdN^)15gOJ+Xjl`WVNHaFSEx~BC5S099C2XiMd%2&RbVSvf_6N&4BPNwC~`-3Iy6M%A(^|48j4ala!QB zQeJ1#aeKDc5;}`&rU%W71i@(}Q4dvRrF!T%@|rftXy+ef9=D4sIIBwD&r$oa&sh5My2_t2jg-bL%5h1@f{8@UKk}53tWW&!= zNs`x~v}$-tx}Hg6BO*(}yaZH9f0z0-Cs1$6U*SoNJ> z)Nb@P959YNg0=}LoDXiUNAkw`QFMexNT&B04SO)d?UGT3Wc#iDN!}S!c-$lsrQ;

L&KXNZnZNstTm?VhT5S?qsq?z)OJqCm*W{;ay$bUQ!5$w7SFgR!b3%PC}-S< z0~~7HGARmazGu4PGW{WAXU`M70C zyt8l$g^^Rpoa1^LG|!xG!}U<8;i5EL6z-Cs8Z+;>l}dP68Rs#_Ui^ZJ_h!|#IXxqd z^M2JWEAaG@Y3ztB)=u;(ni0_&;|Hr$qV;uRZwe>FL=h%(w4Ms5XNS{_!PAVv(@_q| zOKMQ+w1=Apq|4NmF78Y?TZFS&+#})K>~N0a&QaXCEbg3%JD0>^Koa+Q7x!p*tO$=~ zagPU_N>5PS6BPGE7WagSdm@R$fF$l#7x!d%st8YIaZiWIdYH7-goddJ4O0^urY1B@ zO=x)4i-uRdX!ujIXigztO;VdlYBTBDz^f)bEKzApnnuVOM)9bhWYA4|(7{BL>5+#r z;M1r4haUdV+T$pxfIq%xonc0kcs)8r`N&ZV` z4$yc-1EGsBnoN&aleoJ?40{I>mQzTk(MG7=FiKv8L@}8Y<0Q%o6kU^+?2rd1Emz^{ zB8^I&ry^xOfKQ(v3$C)qoXi6bhJr^ypNza_pVSf;~Y zeNI{q!k2TC%t4c0j%&jy2Tr0=)Gk#YYL`l7sDdY1B7$$*SU`cg^UyYIxbf*I+D7~F z!X|!g5uKqDNy{i%M`35j!jDqA!6zzsabdX}50UQj&jb&PS2qq{M>h1u)xRu*rbJ@RRFEY zsD@&F(h4vI20yakF$Y!o)6dQlgr+-cl9&sYk}s3BPkt?th!fJScbYQ1B1xSb9YdgH z8-HorU@o^Jz#{==KmA$ROhth7h($m&Z^e>d)WS9Vyi{7Bq+zREu2M>xio#jhq}1&k zo=#pvhc7a5KBU9w4SpCs6P_)?v-vRkayVZP=j|{;!@~#-4p02NcO5)J`bdEwwzDZ@_DP4&!=kHs1AZ~Izf<0 zkgah#Q6xZjkpSJO4iZq_P=a)q1UQ;$$wG#@)7}g93T`WZL$DTyu9@-A@7~%5l%G(EY1_hOS@577CRy_g;gcxAj2%KPqr?R`KOd*it<3NO)Tzy6*rRLO7yTRX*=MK2% zekD9tgy*v7el<+h!<2b08hS1odM+B4QD|63p_cri(P3R%LjvhkTnF|{Q&Njp4W zNq!G}pg;{}b9jVLF&|+#Ed&Mlb)hZJKRb5{)joBD(Wh9vPx%!Zdu15!!0Bp~X)tX9 z48jR;OP_#O*i;mz^*u;}{B(BPB~eXuS`vO1@xz~yxZ)@B{!Dw6C=LRuF$aN$gBIFd z<|Fwye{Neojk$FBp7zg-xgZwD=Kf z!s&j3gCK?_3Jmwi&hk;nMlV@L@-lNPRi<())tp_FB;+>|9+apYDRofNnxP7mB~y5> zhuk`aAM9_iS!mMqll_t=D@&TJyfIGhntM^X5_q`@+tl=NvQ^qjlM(QZ)@PVwIz;5Jg|S#+StF<0f!;JMQ-AUSzUxS~p`glh^WzE88T zGS6UG6{zErn3QD~2>9oh0b4T|b(l9xi}xgF6ouNXO$tYC8zWiURV2%UbsETj3_|%& zGn-#LUih%U$IjbmV)w&;?)rHe%k$5(Cu$)s~Qk0iOUYB?$d|pvrivL93 zEb$(4-rRCtQO=9Jns^U8ucw?}l=CBRws_;tyR2MLlnWxSTfCFbdr7&dC>KSZ=`!KG zE6OX2^2*3FT~0agrRB?t@@0`{x}0|2RpmDo2k(-FE78jD8D)KOqa9H`#0sc z6y>)>UR`=V;=F%bzM?2!5qT)if%Q4(y|TQzD6fvZOT>HBd9NxL7viez z@(txcQ4U02Av@SVzA{+8vAnG)Z;QN3#j}6EV6gnwa#c~TioChv*}oVtSiY%zb5XuI z^5%)hRP_qq|Lx@+MR`Z$_awgk^6+4JXL(mq-WB=t6W@MOc(8m+xw>tetY@WqI_%QXZiLMo`dCglxvG} zZRBV9OMHLdS-!0(-xm2<{!-_^ymuK5%=MQ!{~hH!i}Ib3e+5&PrJ8%oS~~=Um9anlYt)cloZOd{;9srnK)VHx%WDW?oEb-&+nA&|2h*cOBBG1w7<9tM4X+c-U{ z@z_H} znyBUsh&@x2lIPjl$bio#ndiB>lx*dEeaiQ+r+;0=082h^FwZZ(mlDUnzt7}|`0{F- z??2ZdA2NS6IYwXqAV%Lz0m6d+PW27dx6^zt0{3^*&9*MgFi#Y5Mx(=xGaa6Jt;5$| zXBZKG-Sw6p#`R5QM$@b^W7h04WA+?Batw2t%UsQK%Z#}b7D>LTZSZ3VVQf9Q= z#74m4u%DaeOAP_%FEHYP7cBIn#If*Z-;3jKz9pHymr92-RAIZw>y0M9S+}d zr^9!)ngd1N*2R9tCWt(5@m;aud3PWyYE|CP$ATZCktBY5Q8IZSA^r zLc8wXq;&VLPbYZ&1}A^RMs7f>GV(Uw#~Bha_ubEy?^W51_iuvfdpT=w+AOw9y}83R zP)8>_h0;vx^#1k>sLl46nb3Ocs#Kv5Y zY!wqL`&v7u`e9#JMi8{>xz5HY^v8T~?#NZE>M%7bMi1?zU5a*vX=C@&ZrY=0 zPna?GHrh*1rs>K0tOOZ`Uiuw9t>|eN^n2>hQvcQ2QC}Wo<}vQ4slPtULpI1p)ZY;J z6KqTl1L~im{1cuU=kSKmKb1_3#>mUT704!AO%yiY6#Lb9j64rnLc}P;UjbL8@B>va z@^aB-`I=*vGQP?(KIB{&lVtQhN3saBVIsopR6;SC(fi9n0lEGslVoEt@+vP0D=)@n zqn2PnD0P_)s0=McDyg24nbPaR?Fs4ynuNK>fog$%3RDC1 zOQ33?Wk5Ngl|WTMZ9uqj-Uc9P!48&>YYCl_zddpB>%kPo^JEe?2KD7~Nqs+-P4?0& zbVSh+SKn8ukfnmDZvpi!puPorRI36iBwbfP>Vj?g)eIwB$TgTYg0j6K}H`cW5+Bc2m0`p7ep^#c18ZmD_*(mf+JU6@TyzTc1cuw5ZSGx zzv7B<@lC~WQMi^;{L{AhN9mZNW3KqGQ87zJQ~V-|UqtbXw)ouJ#kjWvDw@~(C??HY zr-k3YMc2zkdju_rHAhfz@F<`;f@6TDxUX?aoN7$TyEiV6`zhXsOve4hI}}Nuuq3{J zz4SUAS9IKIdV@+?Dj7{BXevQd$!RJ%O{GLrDb|G0Ozs(l@Zx5py!>Govtp+m>CvQUb;3L9(5A@L6^p2u;!oFzh6#m+HYAe1! zj&7aW8rqkBH~oo56pf_#v%+Js^k;;p&C9-<{zC65dM|PQRk&)q!7@+Awr+5l&g`at z(EEzsPr^SCE}Sv{=%P^?Q#6)1$A#+|VL2}2--YXp4WFPhiq0hAXNBvmb$&+Y6rD?) z=Y?y*HtcJ?j6ag`H`}ng&+VcMbWzd8c#-ym@eAYBzD_BfN}Oq7{6JW~m2vWRaD8RN zt96aiHA#4_aD8o^lXRWZb&0cHxPG=ByL&>${lfK~4WFu~DLpL-pDtV%taFBLRJt*7 z&J-^H(Z=Or8f9&?VNH6L(zBAV*}{Q`6+P!Z&fN(&2{Enp!6T>vf*1i6A3+3pCLo}Y5MKl&sl(9@+tJZ}^y{qs z(J}htPs7V$ZM3Nmh!F6K7rf$?rjGtKt^I1ps3s8^f2iA+lFaz zbub$8pUPARV<`qX2IFZn@j=FVPGl`O8CmdQ&Vr8=11vgKWfpy0%@b8T@yR3x;`(Ra zxF%{i17c6trsR31E;iuP$>w>sJ|$Z@cSR};u%~}DFu;<}zGI%Be>WwLe_zSui1^}r zHs61)LOx{v@@j{^y2hdZUJHZ;|CpKhKg;`Bz38)tYt<2sM8 zyWTJ&{`wm%J&YTgDvYL?6~@e26~?UDVeA=ZH&?it=TsPTZmckFoLgbcy{W>usineb zxtWcK#bG};&yyMg&YN$<1J7R&#))IWEn(o|Z@D#@zV$XAf7?Qj7vApi?RR*5$DJPE zd6&m`wVDG(-quB7#wLh^VA0*q2!gv8X9Aocq88s1W-@Ztu^<}n_oslPtULpI9B)ZY+= z6Kq_Si>Ut^6&?@NIEOdn!js9ws6#;>u1Gf7YND|DCg)e<4g~?S-)UM3QXGp`iMru*zaw zGHNjv5!sBp6XR9WT{}N3ZN>0svuPSise2o*rRI#RN)s96{J6L zbOr^1ap9<10IMXKz-kXCd6@UG#=}|<>i}cJHrY%gTRpc-uq{EoK$9`|1W+B&kAP}{ zeg;$nv=k^0v;t@nP#X|#T(BNUTCjuV<61(eRUv8i>PnW9@WZ-ib>ZMk-BJGeig&m7IHPFO(9of0rns1{T?0w zgqBDTafbRTzksi|S&-2OE7&m$$&o&E`33Q-mt0Y}{EF8ux!~~S7rgElv|SX{9>sR+ z=r30>F21Q4E(+IDihs%${|FsbbkrCB4Ju`+WQt!x@k=Ov$rhh`yX1O1qLO*Nk7Cli zbz1oSTk^eJvPaN@SaSqL503zvBRC3Ziu(qq#Hl(;-o0@J+)r*FGU@t>cPNrRW=VYi zdg)C%rs$a0^cIz~R5qH*&{T$|ve#7hn#zf$veSgnXB<&kE>NUP z9=-{P%M|HjfTk300UG^fK%@U{-X8S3^eol5px^CTCY^p(BlU`ZL1Q=4Ibaf1!63y_-1yDqMBlV3{jpTQ|5&XLi#+ z=siX6CE@Q27tWY}bkQh{DH=VSGs1PoIzOeeiq0m^ zbHX)$EB3Wc#vjP|%dObmXLiwfx}fNSTciVF{M-2dLF}<=r3e^QN};YcuK~q z?eNZ#agB^Wl<_GU56Czo<5?N&d-z*cb~Vo??bADy-eHp}K7MX3Y-+ys@6@}L-u3_f z1=hb??@@Y>^#>_5w)y7i(H*)|=}z}`+^sk3u4%ff?_kf8Fe;quS;Rl?3N7E~-6t-a1*K*q@0-&}L9 zZ?12yxpsEa5B&54zqs?KKYPm4KheJ8?oEmEepuys-9ey1j|JN-*lt0u1%3G-^n$?m zA^>Z|Dya8Hef|L$%Q1)l(ZF~nLIaLP3=#w%kImEx#Q>{L7WAsm>Ug5S6Q}AK zi0kvBc74&nDG>Y5NjZ7`YqEZxo}!;$PR+?y{yi<{dr0%uWel+5Orw6Dy*!tQ=iGEA zN67gZCg0arARjXS=Sqvdxyqt%uLi<`?`Ha%>%TwJ&+ljHUHxyiexkawn;dSM)g`b3d;*>XR~GAzH_;k#D^9u5#eD^~hJAV&>r70bJGKyA=C1}gKYU;y~+6CW|+QLH1_6) z*uwc|ybpD_7VPL`kLB&$!iH~av}G$S-|}vKSWMsYKKzKb<$gfwBaiZgrF!%+crDdq zTOOBS%k_AdnAy^%mI9B8|TUZ5BA^y0Kof;2-P{f2fa+U0_NO9LSd z%m_z)d5r1DxSywiX(10`6ecv#82MkYab+CQz}3n>;;C^CZ;bt8*~F+tUJ{F192=y4#T~!EBs~&^wh- zOa|$zHKBl9|A{Qwm_=UQ1!1+txMmWfn9^{;1*k1HG*1 zWykYJdL>VCp87~`Bn$T@_ZL#9#M0b`$AV@UeTLzGdG z95c+X(H=#69P{fm9MZ6sF$~IKP!3zlh=xT9+KfF?k>iGHFYQyb&r!WWrI1RRssySM zs7gY`Z&>c*k~zA$fXY7~QAzp}N2ihJX%~*F8L%M91lBoN?_klv1_viOI2ka}Y*X|! zvQ6cd@wR2C4`>SJb^=WXdJU*05t1lB0Crr57Y`Ty#b0 z(ktG)=z{&1UU0xIXuBY)Jxa{h(O|uju_8e?)kEvwisb_jb+XFOk2=c&cmWvN*5b_1*M$`cTn_COV>j z2$g|P!zZNbMmR&L)60Wm4JoVF@N^>?m z%?sB#;|$VJrD5jeqvNVg-G&`C%eXl>FErW&d8is z2xC=PK9%ts8K*zd#5tDAxK+ldW&E{_6&XL3@p~C(ZAbh{8GB?*w>Pbc^QiqolX`vH zqSBTub*t}^8W!d~#tld+|DHsc*K{-Sr*)HtU iasPqdjecB;`j+!Q6{R&l=Km7o{+&BE^LTj2+W!Nsh375+ delta 4079 zcmZ`+TX$8}72f-tv)5TCoB(MMsHG58Km?j^St@I{L8Z z9)0K!NUhpYn%dM_j2Q3;M>yh?-fF1|7JFvrnUI+TJxhTlx z!axByBd>FH!Dz@o0Am>jc?RQIGjS|uJrj`y#}$JZ!6))|>ZE3XRi_GO)kk$aQQ(Qw zlNgBW6N~5k zbS6j0g&8*A=QEKHnZNj1h@h3L!dy;{8rG`SyacEB{pvUf zRZLmVeyX`?SL&y8zL&lc-0jUo?%oC34;YZ+g zRF7=%e4$ZcV#=&>v_Z zqJinrXef^{^B51aG|&+75UD7pfkqX6!p6+JqJb-Qcr?)C9Nw4@kEau(4h2QHlx%Xj ziGs>`&acNE3Ib%wBSstk0$g>%4^&_j6yrsYKw8nsFhfdm%1zf)P@!!wN%f@O%u54#0b4e&d}wR zzQnFb$)S(SvOfAFJ*Vk8f9QXr!H5QN=vf-XF%R-F(_o{@@WuyCZAN7lG;#Kr<@qx` zujzTu^A~y{OE1_Co3KHDr9GPV_@EbQD54?LVf+~5oI}Vtgq%aRQQ86XA-Bem^jCl= zt0F#bnO~y4n)Z6;muWbnVIyN0l*6DLc9e>SMGD%CJyMYqmTDjE*RCLz)ix;vc3;L={u~ z3W{Gr@hi6Y+}jn`+lngY^*)M8^VVtR_ix4ba>X7&Gh)pVls$Y6&>X=bKvUeqoD!$% zD0%nB6>vYfeaNKiC*Gk*`iLd*{p+LG>4>HyUeg;?ji_ogRiUX0O;xX{>NQnUO;x7} zq3QLkI!TyKuV=Nt9ZvYzoRY0TvP2L{#yYwv8xS-$d zStgx+RwMdPJN<9cQB6m^{=d;kL?h5I5B%L`3xBsqP>m5(W5ia2nMcw$A$#xF@NGrnlTjL^u6|-q!SXhCd<#zS$vs{rkFR@t4RuGM?_5wOnP*yS|&=qxUtvZ=)6c zQ>e`Ax|{w*A87g@b^cqp)^vlbOU7Ty_)Iq#A(TIb=on3Cnn)KN7sijR^8}sLbTW0G z62>#aQtScm!X9v)vEiT5Sxslt@N>fTsdb*G3z{yZ&d-I5gk`skhlT5$2~WZ#qmzsa zPqM;w-a2zhq?0Ih^3ieC8n$Cc%`$G3@h97{yRYn`#$=jKrlpIn5XP^qb9ypECo@v# zOku1F%ZD<4DdY6VnmETY8Mn#!3mJbWV@1XfW&Bpg**g%wO2!@;lO0WKRTi~hWK(ZQ zT6EHqrf!veAz-l$XiM64(w+uvl)X&|Tht5w`7*Zj&SAV$#$Wf&ZcrKXIntYSB%M0x hRQ(5gH-)OC`d0A2W~H@{^M8q|f7i|}JRaG(?*DK6<--5~ diff --git a/inform7/Internal/Inter/EnglishLanguageKit/arch-32.interb b/inform7/Internal/Inter/EnglishLanguageKit/arch-32.interb index 0a303b50e7e323ae6b0bec2847fc100da3e75669..50ed98c65e26922cbd4bbdc5595bd5d2dc36c229 100644 GIT binary patch delta 4031 zcmZ`+Yj6}*7Ve&z?!J>uco~fkmWPVGG$JCRK?DgTK{Ox+0hJ^&(bC$|(sHYIfA#5~ zTU)h%vaaG|vltaIK)?Y<9ARX$KK@w#S$4JdPZGjIe1inv>-o;T-FJ$GROR${?m726 z_dECAPUqxD?#Ykb+{2GP`Gli?{N1^pRrSjG-(tsU&tx>{h(TuzHpL*%p!<_mlfr6e z(B&VMsdk1^3<3tjX+3Z_VCopj zTmiXXj7iD!%dw#bUyakxug9lkDc?*;xenHJ@&X2!a;jE8zr8RefzuZ;IWk7;OuaJ~ zqaG@McS(%CzcfZaTn2;*KTdQt)la{1oewZeenq5tMCNVOP51cB}37mFa|b zf$KUsV|uHAm+OFK15@#`|g+AnCt$H z;$miZL)%0*=w8=0h3y~Oo(ThY*acfBJxkA}={YZ@P1bYM{HwBoJ0uC4ay6&Bpl4`vnl?}HBuO)L(ew0zq8Dt^-zemJfFvFefM+5mjpibT&CQEjvB^j;2uc^24m#p^aMz1&{=@f;j99 z7$8aM0$IeWqySKs(Rui0#w-vPpb zf2S>qw%AR7k$OGq#h$0BH^esgVw-zw{S>dfS2t%+Muq3Fbf}A7qL&rDY zRWMw9jtjgx&`twX?x;@%IiA=#jT}dZkW~+ul?ei?EUdOL2N>$)8aq73!m$>P(-76h z^NDdf6VwGX4r31kjRkrPXbjNrfNFr20Of#|0aXLF_#s2wIHwhZas=C0KJF2OLJhal zMELCx_e2J9VbEXhmh|_S zj|%6Xu;-i;_MUq}h*!=Vc1FutY20VMbeu2gnBEcHFx(UFr8NJzY5tqEPtiWx{I{s& zQAszygyxsf{E|7_As_Bie7Joo>G%5}2KDaq0WR6ITryiwk5s({MGM~q)LXC*P`BzW zE{RQz8CjAJIeebNcp)+xA1Gd;X!_J>dYkqu+HW--pt470t*H!6WoRnf`&zb=%88`1 zl~j%;g_xGy&vI>YKg+dpKOI(DmJ8%ZB@5pM#AWiM{eZd^2LQGHGN9J~4lfV-+5vmDWX!svg84k|im_5XtgJQ{$0dEn1Bd-$_GfNl(+8v~{rOgxah2|0p~ z2>m^fr+?DacNM)Ge?_#@d-T4d_fz~8;qcXV5$oQv5nn6^#Qs<8cN-@*1Zn+ZZl{0I zAw`GG9H0Izg!)z6PXD2g6@8opKM}^(b};@{>>t~~rG>WBVH#01lB6FIuFs?k?R1om zDLR$}j|36c(hhKaWztX5DMhD}^lydhYZE+8ql!k8;2Gh1yaVg{v)Ded9|+@V zJt@jWo{GG9Qp9`2ts6DLAW|w)NiZvnlRLqU`O#QsU_cCjCd{Zj1MO~{`kcJ-zyOM^7t@3TzN zrBS1b8k3^SWJO4rZ4#D8O)6?i5}IXIdxY(f*io@{c~n`DpUifh*x%U*=-%+q8n!zhYWx);lkCU< delta 4031 zcmZ`+Yj9Q76+Y+Qd(PcA+yF5M6e$k@d1(YhM1wpekc5W;F$kz6DK~ZKbaZrdrv5tX z{B)e@pVaz5O=(2L00Ay=#VcHCYV9A#Kc&^_pCp8bXqAElpKaH-_C9Cdv5=Xp^R2zs z`qo}+uYGcI>0|fO$8L7}<4-^3=pXN3wsT!g+WGHN$7xBWG-!=LTLiX6AjhEN({&Sq z3a8KIAC;V;dIJa20a7L_y(L!8*olBK+yS&4*INu7c#tXVHg83eV)~( zFDf|$eE&5(A+NC1flAz9Hc{*wdwI1_-%4N=2LcsUqT}}1F&s`?~_m30ww*E6wKheaAlPsPz+2YAJTYU2r z%?SS~Qw=?osng1oX}6Rqw@fcnrq6H#%P?bRnQ7*%GG*56GG+FyWy-C$l_|H?lqog0 zv*L4c*w5{Aq=$fW=IY{s=gxD3*f8%7*NOb^m>)0Cztj5PxxnHDcUgSbLW>vPZSmdr zSbR^dK2YSXUF4=rg1~VW-5V*6bMNAmgA;_;;w5e>C1(w7$x@EN@j+R-jFk$bEW3{@ zwd#G#dB`lw^11|TQDJo}Vz^=@=mOzeSvwEwp2YIm=9dEB9dNd!x&N^*R#V~IWxjxE zcQ8)YA0emW|GaE7uIaT{b0OJuK&5E|6se?ry4*N}v?r}y<1NA`6?R|0(!Ff*d^vPI zSMBr%+No%#J@h|Pmq%SV^CWczIOZ-Kb62&W;GK8r=Jd&`@SK(owbL84OVKXN^Cs<1 z(rzq z*{XO3oVFOX1C7MmcAybJPXG-EdIqQxXcLWM?s9W_e zr^KN~j9ij7IXq86v=Ld228y>RHGO7kdXJ7PI&Nz^K_!n$x~39pDxs#5y{{!(QYkK} zWJ@YVB?SnJ?`NqxzMrLPxt|VKT9OOoheZqD1H@(W!{dOu6(<07{UtzM|NFc>)E}ki zO0`Mqk2Wor_}5CtV>sg2Qa%urjPyVSVh-AiFv&Y^OvHi5!zdmW8>AEH{b#O>RP!pU~eU pxv)9hsKSkY$L?H{>*ufL7V$q}`T4)({|Eh!Est$rx&N`c{{if(>sd{}

i1hKpCYl?zY<<9BbeNW%hx9{xkmM`5c zU%Hvik3R9ZBmew;nH|eR;ar*HIN4N6g7q3~&|s4WjT$s1Q=XGbxsFdj8-YlbI-M^5 z5$H-F2oQ89<-`vuBzt`XwV>r^_$} z#h&Sv;ODQs<@2{b^7(t;1aIYRzl7^hnsYZGpcQ|V%jcgrCPMc7brX_P$oc*%-`_V= zKFa*h0F5pT)ac?MLbTxD3RiMn8Z4iehsa(1H&i~Uxk%c86!r%otl8Gpfg-;iFvI z&$v6dhy?E#FGUj`Kf&S&cVcs>@H;1(@QIUb$fU`PL#CWO#X6=;wRq|@i>KXX@m0Ox>UaQzIusE}u6!T}F}0D(x5D8aWPS2`ENL z{xrEtn4eG@k&_9p$u~-~#P~{Le3Wy7CP_s*EXib=4U7o6Qx2ucRJ1b|N)YRR!X)d` z$SJuhEMAOjM$MuH0oP?DK_O{Lq~PjFxh8?GPDr6w$!WX3(p~8Cd_MGUUe*L#;dKG8 z+e3c?T0Ll`Lr+309dj!lGqjfb3EX(AtW77+5>1%=LGio^ZwYwI^1Kb(lCVv6*a;Q1 z9d-!VVS{!;n+I*O!|>6CIol{_8|7?MjZ#Z6aIrPoxW62VQYyleig_387O>kg?}2s? z+NF$kqHHJ1c1`I+J4>N9Ll0NvM@6+4_6gW$sosH{2RTWVBdQ!x<(LZJu-L~rb#zq( zg?rwI9QP+3okWf!U365{1k;?1VTpyM7G^9gv#^(iy$Obrt&g0hY<;n1oDBvw5$Z#8 zn+f$M^cbODgq|c+Mrbaf450;tN(t2vq8sNdBg8FOhw|xKl1|QFYh3txkVSDEo}?Q? z^~G{=eShLjHo?2FU%-A_-}fNzL0;B3PxZ}Hee>$57W$AkU6-fSdDZfZ5QeIdi)mUG zaxpES{rk~w3-=HtEq>IF8TzH|0=(XGK`PoCW2ae?>__{qzaV_)nk#bGU-9lW7wo_O zg7@r#nyaE}{ZMV4`b$>~i!Uoi7X@p{#s66q|9vaF>lyk`J-iafj)&*}4+YorBme*a delta 4103 zcmZ`+S#VTU5PffE-km$i1c-5k08t4DVo+q2MUXX-RY(w&3`?TLhgxOn_v=@F@L~BC z_fn%qWr-MZ#1RG@Fj^&wRsM-vRT2Rug1aaPVt3!|6$PoveW!2tJ$+B#zHeqWf8lTb z!q0Ac@R5f-^%v~SZd(?nz4Nm?FPBOwu+D(>25dB-#emjiD)3S%-xCCkk(Q}4ugAwf z0=)?YX#{;qHSv8)dyWJe92E#c3O<(BQ$I)qwCcx&Xwmsw-j$861sj{t zV|bfZq2Zevt-6~m-|*hOnoZyEu3n>Tz8@rY%{@3_sP4I!yoT!DRckreaII}-(=Kh! zp>5tW<|$KxOSr)&_MFTlGl3ufml@IaSYwAT+D0|igu?ITz>V1oSs@ZVY_!0W@RWq7 zL{izU@H9Ll;Te%q_BwbLo=d`Wl>rAShE{k1UX<{n3wjCK18Bc8=<)f{s~&xR651;R z90C!9&|W3{6KGtW7SKLe`UgDOhv8Le|EQhlG04l3OYkPAsVGueYy7g$AkU*LX^N4G zKSQoE<|mXv2_K(^iDqDTmTzD%u_kB^ajtBQ{yD zL0;JfVew*IG-@U-NON6A5tNFSL`trnl4}!~>Vy<}ft=1uD}57Pf#5^$<7KVzGQ1+; z6?f>bLPr1{bm&Rwpkwa9V}_0@k-&|2sM_@KEYXC??={bB@VbQ89nTxEB?((}haJ&D zTVb1oZ7yg#bOz9=It(AZn6r~|c2dqx-6#zN(>}IFC-;{}QCdZKR5QN`J0$FI%x^(g z09{H(7g2T*WtX88(8W@y&CtUYIi{)JhMf|2I;wXdA3$DF<%ud!RC%VtH!SvXULRd8 zLFu0okmvrSqf^N9l#7n4j$nq9F)VYi+`+7a6%Gz?a3H}@u?iW&jGbmlvWRwGdO`TkMOWl6z2e=AF4%SH z1@E~9^%q1nh){2x`pZ-di?1q17X@p{#s5(k|9#jkVYe&(9w-J-RK+h+@rzXaqAou6 zcG2{(t@DjuNC&fJ_-ArrVpSLKuKvTk){%9DmhIhr>SH$m5e3|wb!#`B+;zB zo~0^%JwpK{zCa=>I=Gi0T_zFjBdAL80YRm|L{RD9kJ}^tCOt}3F4AxI%#%hxs$u>8 zsDC5r-wy{Q9B}$Sgzf;kNk2d6a~m!C-0r4obW=6Dbv2N=+rA0WLti4)_kkAp2tJnZ zv1og~dB`-8RI@qw1P)3#n82?R9twm{DI}>rO>*!V9FlOzIzQ+2zcyFnx0Q+{^4p7!-1kB{@Xbv^CwtX_0YG+0K1?V=&f zcuqU3qoFbyYMsNF@jSCsZ>T}u89X-g81ncsj|Vo?%n?cYn5xxO6QYSSnrNveaUKd7 zsRJfQQ)D#722AB#BQ{c&c|6|7<7OVW@_3NPejdwPC~_>1i(7^xet^d(T834Mglepo ns4;4iQIlxf+p^Rb`E2WS{K=NDzYl*sinfRDUx8!y{d4~Zs;cq1 From 9cb1194e469d37bd1bb6f2fbe77a36a741367bda Mon Sep 17 00:00:00 2001 From: Andrew Plotkin Date: Thu, 25 May 2023 11:43:17 -0400 Subject: [PATCH 07/33] Remove temporary comment code. --- .../Chapter 5/Compile Blocks and Lines.w | 8 -------- 1 file changed, 8 deletions(-) diff --git a/inform7/imperative-module/Chapter 5/Compile Blocks and Lines.w b/inform7/imperative-module/Chapter 5/Compile Blocks and Lines.w index 32662f605..c8d809759 100644 --- a/inform7/imperative-module/Chapter 5/Compile Blocks and Lines.w +++ b/inform7/imperative-module/Chapter 5/Compile Blocks and Lines.w @@ -135,14 +135,6 @@ int CompileBlocksAndLines::code_line(int statement_count, parse_node *p, int as_ source_location sl = Wordings::location(Node::get_text(to_compile)); if (sl.file_of_origin) { if (sl.file_of_origin != last_loc->file_of_origin || sl.line_number != last_loc->line_number) { - TEMPORARY_TEXT(C) - WRITE_TO(C, "[#### line %d", sl.line_number); - WRITE_TO(C, " of "); - //Filenames::writer(C, "%s", sl.file_of_origin->name); - WRITE_TO(C, "%S", sl.file_of_origin->name->leafname); - WRITE_TO(C, "]"); - EmitCode::comment(C); - DISCARD_TEXT(C) *last_loc = sl; EmitCode::origsource(last_loc); } From 70f4b854c42f05ccb5fa7562e423c16658cdfeec Mon Sep 17 00:00:00 2001 From: Andrew Plotkin Date: Thu, 25 May 2023 11:56:30 -0400 Subject: [PATCH 08/33] Rename ORIGSOURCE_MTID to PLACE_ORIGSOURCE_MTID, which reads a little better. --- .../Chapter 4/Project Bundle Manager.w | 2 +- inter/final-module/Chapter 2/Code Generators.w | 8 ++++---- inter/final-module/Chapter 2/Vanilla Code.w | 4 ++-- inter/final-module/Chapter 2/Vanilla.w | 2 +- inter/final-module/Chapter 4/Inform 6 Code.w | 10 +++++++--- 5 files changed, 15 insertions(+), 11 deletions(-) diff --git a/inbuild/supervisor-module/Chapter 4/Project Bundle Manager.w b/inbuild/supervisor-module/Chapter 4/Project Bundle Manager.w index 4b3f1771c..f30f851f2 100644 --- a/inbuild/supervisor-module/Chapter 4/Project Bundle Manager.w +++ b/inbuild/supervisor-module/Chapter 4/Project Bundle Manager.w @@ -221,7 +221,7 @@ inbuild_copy *ProjectBundleManager::claim_folder_as_copy(pathname *P) { if (Platform::is_folder_separator(Str::get_last_char(entry)) == FALSE) { TEMPORARY_TEXT(ext) Filenames::write_extension(ext, Filenames::from_text(entry)); - if (Str::eq_insensitive(ext, I".html") == FALSE) { + if (Str::eq_insensitive(ext, I".html") == FALSE && Str::eq_insensitive(ext, I".xml") == FALSE) { TEMPORARY_TEXT(error_text) WRITE_TO(error_text, "the 'Index' subdirectory of the project directory '%S' contains a " diff --git a/inter/final-module/Chapter 2/Code Generators.w b/inter/final-module/Chapter 2/Code Generators.w index 8d3621992..ffed54604 100644 --- a/inter/final-module/Chapter 2/Code Generators.w +++ b/inter/final-module/Chapter 2/Code Generators.w @@ -247,13 +247,13 @@ void Generators::evaluate_label(code_generation *gen, text_stream *label_name) { @ OrigSource directives -@e ORIGSOURCE_MTID +@e PLACE_ORIGSOURCE_MTID = -VOID_METHOD_TYPE(ORIGSOURCE_MTID, code_generator *generator, code_generation *gen, +VOID_METHOD_TYPE(PLACE_ORIGSOURCE_MTID, code_generator *generator, code_generation *gen, text_provenance *source_loc) -void Generators::origsource(code_generation *gen, text_provenance *source_loc) { - VOID_METHOD_CALL(gen->generator, ORIGSOURCE_MTID, gen, source_loc); +void Generators::place_origsource(code_generation *gen, text_provenance *source_loc) { + VOID_METHOD_CALL(gen->generator, PLACE_ORIGSOURCE_MTID, gen, source_loc); } @ The three ways to invoke (and a doohickey for assembly opcodes): diff --git a/inter/final-module/Chapter 2/Vanilla Code.w b/inter/final-module/Chapter 2/Vanilla Code.w index 701ea4cbc..f6e199c4a 100644 --- a/inter/final-module/Chapter 2/Vanilla Code.w +++ b/inter/final-module/Chapter 2/Vanilla Code.w @@ -148,8 +148,8 @@ void VanillaCode::assembly(code_generation *gen, inter_tree_node *P) { @ OrigSource directives are passed through to the generator. = -void VanillaCode::origsource(code_generation *gen, inter_tree_node *P) { +void VanillaCode::place_origsource(code_generation *gen, inter_tree_node *P) { text_provenance prov = OrigSourceInstruction::provenance(P); - Generators::origsource(gen, &prov); + Generators::place_origsource(gen, &prov); } diff --git a/inter/final-module/Chapter 2/Vanilla.w b/inter/final-module/Chapter 2/Vanilla.w index d81fe03b5..bb519379a 100644 --- a/inter/final-module/Chapter 2/Vanilla.w +++ b/inter/final-module/Chapter 2/Vanilla.w @@ -112,7 +112,7 @@ void Vanilla::node(code_generation *gen, inter_tree_node *P) { case LOCAL_IST: break; case NOP_IST: break; case COMMENT_IST: break; - case ORIGSOURCE_IST: VanillaCode::origsource(gen, P); break; + case ORIGSOURCE_IST: VanillaCode::place_origsource(gen, P); break; case INVALID_IST: InterErrors::backtrace(DL, P); internal_error("INVALID node type in Inter tree"); diff --git a/inter/final-module/Chapter 4/Inform 6 Code.w b/inter/final-module/Chapter 4/Inform 6 Code.w index 9d1d129c9..a60df40e7 100644 --- a/inter/final-module/Chapter 4/Inform 6 Code.w +++ b/inter/final-module/Chapter 4/Inform 6 Code.w @@ -7,7 +7,7 @@ void I6TargetCode::create_generator(code_generator *gtr) { METHOD_ADD(gtr, DECLARE_FUNCTION_MTID, I6TargetCode::declare_function); METHOD_ADD(gtr, PLACE_LABEL_MTID, I6TargetCode::place_label); METHOD_ADD(gtr, EVALUATE_LABEL_MTID, I6TargetCode::evaluate_label); - METHOD_ADD(gtr, ORIGSOURCE_MTID, I6TargetCode::origsource); + METHOD_ADD(gtr, PLACE_ORIGSOURCE_MTID, I6TargetCode::place_origsource); METHOD_ADD(gtr, INVOKE_PRIMITIVE_MTID, I6TargetCode::invoke_primitive); METHOD_ADD(gtr, INVOKE_FUNCTION_MTID, I6TargetCode::invoke_function); METHOD_ADD(gtr, INVOKE_OPCODE_MTID, I6TargetCode::invoke_opcode); @@ -178,13 +178,17 @@ void I6TargetCode::evaluate_label(code_generator *gtr, code_generation *gen, } @h Origsource references. + +The conversion of filenames to I6 string literals doesn't really account +for special characters. We're leaving it up to the end user to decode all +of I6's confusing escape sequences. But at least we guarantee that the +I6 compiler won't choke on the directive. = -void I6TargetCode::origsource(code_generator *gtr, code_generation *gen, +void I6TargetCode::place_origsource(code_generator *gtr, code_generation *gen, text_provenance *source_loc) { text_stream *OUT = CodeGen::current(gen); if (source_loc->textual_filename && Str::len(source_loc->textual_filename) > 0) { WRITE("#OrigSource "); - /* We use compile_literal_text() to avoid literal quotes in the filename. This will probably cause trouble but the I6 will be valid. */ Generators::compile_literal_text(gen, source_loc->textual_filename, TRUE); WRITE(" %d;\n", source_loc->line_number); } From 0632deb783e153e3fdaf1071eb0b4fac862aa64a Mon Sep 17 00:00:00 2001 From: Andrew Plotkin Date: Thu, 25 May 2023 12:05:23 -0400 Subject: [PATCH 09/33] Comments. --- inter/final-module/Chapter 2/Code Generators.w | 3 ++- 1 file changed, 2 insertions(+), 1 deletion(-) diff --git a/inter/final-module/Chapter 2/Code Generators.w b/inter/final-module/Chapter 2/Code Generators.w index ffed54604..81e8ef2fd 100644 --- a/inter/final-module/Chapter 2/Code Generators.w +++ b/inter/final-module/Chapter 2/Code Generators.w @@ -245,7 +245,8 @@ void Generators::evaluate_label(code_generation *gen, text_stream *label_name) { VOID_METHOD_CALL(gen->generator, EVALUATE_LABEL_MTID, gen, label_name); } -@ OrigSource directives +@ OrigSource directives. These identify the original source location that +generated the current code. @e PLACE_ORIGSOURCE_MTID From 63fce0f1a7d1efce21cb5d4a78d0b43dd370220e Mon Sep 17 00:00:00 2001 From: Andrew Plotkin Date: Thu, 25 May 2023 12:52:36 -0400 Subject: [PATCH 10/33] Optimize the case of OrigSource with no arguments. --- .../Chapter 4/The OrigSource Construct.w | 36 ++++++++++++++----- 1 file changed, 27 insertions(+), 9 deletions(-) diff --git a/inter/bytecode-module/Chapter 4/The OrigSource Construct.w b/inter/bytecode-module/Chapter 4/The OrigSource Construct.w index 8b98aafe2..517547a47 100644 --- a/inter/bytecode-module/Chapter 4/The OrigSource Construct.w +++ b/inter/bytecode-module/Chapter 4/The OrigSource Construct.w @@ -28,16 +28,22 @@ compulsory words -- see //Inter Nodes// -- followed by: @d PROVENANCEFILE_ORIGSOURCE_IFLD (DATA_IFLD + 0) @d PROVENANCELINE_ORIGSOURCE_IFLD (DATA_IFLD + 1) +If |PROVENANCEFILE| is zero, the instruction means "Following bytecode is not +from any specific source location." + = inter_error_message *OrigSourceInstruction::new(inter_bookmark *IBM, filename *file, inter_ti line_number, inter_error_location *eloc, inter_ti level) { - TEMPORARY_TEXT(file_as_text) - if (file) WRITE_TO(file_as_text, "%f", file); - inter_warehouse *warehouse = InterBookmark::warehouse(IBM); - inter_package *pack = InterBookmark::package(IBM); - inter_ti FID = InterWarehouse::create_text(warehouse, pack); - Str::copy(InterWarehouse::get_text(warehouse, FID), file_as_text); + inter_ti FID = 0; + if (file) { + TEMPORARY_TEXT(file_as_text) + WRITE_TO(file_as_text, "%f", file); + inter_warehouse *warehouse = InterBookmark::warehouse(IBM); + inter_package *pack = InterBookmark::package(IBM); + FID = InterWarehouse::create_text(warehouse, pack); + Str::copy(InterWarehouse::get_text(warehouse, FID), file_as_text); + } inter_tree_node *P = Inode::new_with_2_data_fields(IBM, ORIGSOURCE_IST, /* PROVENANCEFILE_ORIGSOURCE_IFLD: */ FID, /* PROVENANCELINE_ORIGSOURCE_IFLD: */ line_number, @@ -58,7 +64,12 @@ void OrigSourceInstruction::transpose(inter_construct *IC, inter_tree_node *P, = void OrigSourceInstruction::verify(inter_construct *IC, inter_tree_node *P, inter_package *owner, inter_error_message **E) { - *E = VerifyingInter::text_field(owner, P, PROVENANCEFILE_ORIGSOURCE_IFLD); + if (!P->W.instruction[PROVENANCEFILE_ORIGSOURCE_IFLD]) { + /* (0,anything) is valid */ + } + else { + *E = VerifyingInter::text_field(owner, P, PROVENANCEFILE_ORIGSOURCE_IFLD); + } if (*E) return; } @@ -86,8 +97,13 @@ void OrigSourceInstruction::read(inter_construct *IC, inter_bookmark *IBM, inter = void OrigSourceInstruction::write(inter_construct *IC, OUTPUT_STREAM, inter_tree_node *P) { - WRITE("origsource "); - Provenance::write(OUT, OrigSourceInstruction::provenance(P)); + if (!P->W.instruction[PROVENANCEFILE_ORIGSOURCE_IFLD]) { + WRITE("origsource"); + } + else { + WRITE("origsource "); + Provenance::write(OUT, OrigSourceInstruction::provenance(P)); + } } @h Access functions. @@ -96,6 +112,8 @@ void OrigSourceInstruction::write(inter_construct *IC, OUTPUT_STREAM, inter_tree text_provenance OrigSourceInstruction::provenance(inter_tree_node *P) { if (P == NULL) return Provenance::nowhere(); if (Inode::isnt(P, ORIGSOURCE_IST)) return Provenance::nowhere(); + if (!P->W.instruction[PROVENANCEFILE_ORIGSOURCE_IFLD]) + return Provenance::nowhere(); return Provenance::at_file_and_line( Inode::ID_to_text(P, P->W.instruction[PROVENANCEFILE_ORIGSOURCE_IFLD]), (int) P->W.instruction[PROVENANCELINE_ORIGSOURCE_IFLD]); From dd5ff2a7b252d343ae53a53e0efe8ef00738ebd5 Mon Sep 17 00:00:00 2001 From: Andrew Plotkin Date: Thu, 25 May 2023 12:53:48 -0400 Subject: [PATCH 11/33] Comment. --- inter/bytecode-module/Chapter 4/The OrigSource Construct.w | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/inter/bytecode-module/Chapter 4/The OrigSource Construct.w b/inter/bytecode-module/Chapter 4/The OrigSource Construct.w index 517547a47..20c7841fa 100644 --- a/inter/bytecode-module/Chapter 4/The OrigSource Construct.w +++ b/inter/bytecode-module/Chapter 4/The OrigSource Construct.w @@ -29,7 +29,7 @@ compulsory words -- see //Inter Nodes// -- followed by: @d PROVENANCELINE_ORIGSOURCE_IFLD (DATA_IFLD + 1) If |PROVENANCEFILE| is zero, the instruction means "Following bytecode is not -from any specific source location." +from any specific source location." The line number is ignored in this case. = inter_error_message *OrigSourceInstruction::new(inter_bookmark *IBM, From 40259e3e7a4e155163f08e7d9845c83f17a42ed0 Mon Sep 17 00:00:00 2001 From: Andrew Plotkin Date: Fri, 26 May 2023 10:20:26 -0400 Subject: [PATCH 12/33] Start parsing #Origsource in the I6-to-Inter stage. Not yet useful. --- .../Chapter 5/The Splat Construct.w | 1 + .../Chapter 3/Compile Splats Stage.w | 54 ++++++++++++++++++- .../Chapter 3/Parsing Stages.w | 5 +- 3 files changed, 56 insertions(+), 4 deletions(-) diff --git a/inter/bytecode-module/Chapter 5/The Splat Construct.w b/inter/bytecode-module/Chapter 5/The Splat Construct.w index b82c1b542..2aa9b7d39 100644 --- a/inter/bytecode-module/Chapter 5/The Splat Construct.w +++ b/inter/bytecode-module/Chapter 5/The Splat Construct.w @@ -180,6 +180,7 @@ The other names here are taken from their corresponding I6 directives. @e IFNOT_PLM @e ENDIF_PLM @e IFTRUE_PLM +@e ORIGSOURCE_PLM @e CONSTANT_PLM @e ARRAY_PLM @e GLOBAL_PLM diff --git a/inter/pipeline-module/Chapter 3/Compile Splats Stage.w b/inter/pipeline-module/Chapter 3/Compile Splats Stage.w index b8ae46974..584ee8c95 100644 --- a/inter/pipeline-module/Chapter 3/Compile Splats Stage.w +++ b/inter/pipeline-module/Chapter 3/Compile Splats Stage.w @@ -11,7 +11,7 @@ the conditional compilation splats gone, we are left with these: = (text) ARRAY_PLM ATTRIBUTE_PLM CONSTANT_PLM DEFAULT_PLM FAKEACTION_PLM GLOBAL_PLM OBJECT_PLM PROPERTY_PLM -ROUTINE_PLM STUB_PLM VERB_PLM +ROUTINE_PLM STUB_PLM VERB_PLM ORIGSOURCE_PLM = And we must turn those into splatless Inter code with the same effect. In some cases, notably |ROUTINE_PLM| which contains an entire Inform 6-notation @@ -86,6 +86,9 @@ void CompileSplatsStage::visitor1(inter_tree *I, inter_tree_node *P, void *state case STUB_PLM: @; break; + case ORIGSOURCE_PLM: + @; + break; } } } @@ -133,6 +136,31 @@ void CompileSplatsStage::visitor3(inter_tree *I, inter_tree_node *P, void *state } } +@h How OrigSource definitions are assimilated. + +### This is not yet useful. It converts a top-level #Origsource directive +to a top-level ORIGSOURCE_IST node, but it doesn't put it anywhere +meaningful; the node just winds up tacked onto the end of the kit. + +@ = + I6Errors::set_current_location_near_splat(P); + match_results mr = Regexp::create_mr(); + text_stream *origfilestr = NULL; + int origline = 0; + int proceed = TRUE; + @; + if (proceed) { + filename *origfilename = NULL; + if (origfilestr) + origfilename = Filenames::from_text(origfilestr); + inter_bookmark content_at = InterBookmark::after_this_node(P); + inter_bookmark *IBM = &content_at; + inter_ti B = (inter_ti) InterBookmark::baseline(IBM) + 1; + Produce::guard(OrigSourceInstruction::new(IBM, origfilename, (unsigned int)origline, NULL, B)); + NodePlacement::remove(P); + } + Regexp::dispose_of(&mr); + @h How definitions are assimilated. @ = @@ -191,6 +219,28 @@ meaningfully have a value, even though a third token is present. } Str::trim_all_white_space_at_end(raw_identifier); +@ The following finds |"STRING" NUMBER|, or |"STRING"|, or nothing. +(In its pocketses.) This is needed for the |OrigSource| directive. +In I6 that directive can accept a second number, but we won't +worry about that here. + +@ = + text_stream *S = SplatInstruction::splatter(P); + if (Regexp::match(&mr, S, L" *%C+ \"(%C*)\" (%d+) *; *")) { + origfilestr = mr.exp[0]; + origline = Str::atoi(mr.exp[1], 0); + } + else if (Regexp::match(&mr, S, L" *%C+ \"(%C*)\" *; *")) { + origfilestr = mr.exp[0]; + } + else if (Regexp::match(&mr, S, L" *%C+ *; *")) { + /* bare "Origsource;" is okay */ + } + else { + I6Errors::issue("Unable to parse ORIGSOURCE_PLM: '%S'", S); + proceed = FALSE; + } + @ An eccentricity of Inform 6 syntax is that fake action names ought to be given in the form |Fake_Action ##Bake|, but are not. The constant created by |Fake_Action Bake| is nevertheless |##Bake|, so we take care of that here. @@ -229,7 +279,7 @@ But in fact it's easier to handle it here. @ So if we're here, we have reduced the possibilities to: = (text) ARRAY_PLM ATTRIBUTE_PLM CONSTANT_PLM FAKEACTION_PLM -GLOBAL_PLM OBJECT_PLM PROPERTY_PLM VERB_PLM +GLOBAL_PLM OBJECT_PLM PROPERTY_PLM VERB_PLM = We basically do the same thing in all of these cases: decide where to put the result, declare a symbol for it, and then define that symbol. diff --git a/inter/pipeline-module/Chapter 3/Parsing Stages.w b/inter/pipeline-module/Chapter 3/Parsing Stages.w index 3904be32a..7a7ab70e1 100644 --- a/inter/pipeline-module/Chapter 3/Parsing Stages.w +++ b/inter/pipeline-module/Chapter 3/Parsing Stages.w @@ -368,6 +368,7 @@ the directive type as 0. else if (Str::eq_insensitive(mr.exp[0], I"Iftrue")) I6_dir = IFTRUE_PLM; else if (Str::eq_insensitive(mr.exp[0], I"Ifnot")) I6_dir = IFNOT_PLM; else if (Str::eq_insensitive(mr.exp[0], I"Endif")) I6_dir = ENDIF_PLM; + else if (Str::eq_insensitive(mr.exp[0], I"OrigSource")) I6_dir = ORIGSOURCE_PLM; else if (Str::eq_insensitive(mr.exp[0], I"Stub")) I6_dir = STUB_PLM; else if (Str::eq_insensitive(mr.exp[0], I"Constant")) I6_dir = CONSTANT_PLM; else if (Str::eq_insensitive(mr.exp[0], I"Global")) I6_dir = GLOBAL_PLM; @@ -397,7 +398,7 @@ the directive type as 0. else if (Str::eq_insensitive(mr.exp[0], I"Import")) known = TRUE; else if (Str::eq_insensitive(mr.exp[0], I"Link")) known = TRUE; else if (Str::eq_insensitive(mr.exp[0], I"Lowstring")) known = TRUE; - else if (Str::eq_insensitive(mr.exp[0], I"Origsource")) known = TRUE; + else if (Str::eq_insensitive(mr.exp[0], I"Message")) known = TRUE; else if (Str::eq_insensitive(mr.exp[0], I"Replace")) known = TRUE; else if (Str::eq_insensitive(mr.exp[0], I"Switches")) known = TRUE; else if (Str::eq_insensitive(mr.exp[0], I"Trace")) known = TRUE; @@ -406,7 +407,7 @@ the directive type as 0. if (known) I6Errors::issue( "this Inform 6 directive is not supported in kits or '(-' inclusions: '%S' " - "(only #Ifdef, #Ifndef, #Iftrue, #Ifnot, #Endif, #Stub, Constant, Global, " + "(only #Ifdef, #Ifndef, #Iftrue, #Ifnot, #Endif, #OrigSource, #Stub, Constant, Global, " "Array, Attribute, Property, Verb, Fake_action, Object, Default are " "supported)", R); else From 9e5a9af370e1161e81a619abda4b036297ff094c Mon Sep 17 00:00:00 2001 From: Andrew Plotkin Date: Fri, 26 May 2023 20:08:07 -0400 Subject: [PATCH 13/33] Start working through #origsource in kit code. --- .../Chapter 2/Emitting Inter Schemas.w | 15 +++++++++++++-- inter/building-module/Chapter 2/Inter Schemas.w | 2 ++ inter/building-module/Chapter 2/Tokenisation.w | 1 + 3 files changed, 16 insertions(+), 2 deletions(-) diff --git a/inter/building-module/Chapter 2/Emitting Inter Schemas.w b/inter/building-module/Chapter 2/Emitting Inter Schemas.w index d1ba33df2..dfd65ffe7 100644 --- a/inter/building-module/Chapter 2/Emitting Inter Schemas.w +++ b/inter/building-module/Chapter 2/Emitting Inter Schemas.w @@ -500,8 +500,19 @@ other Inform 6 directives are not valid inside function bodies, which is the only part of I6 syntax covered by schemas. Therefore: @ = - I6Errors::issue_at_node(node, I"misplaced directive"); - return; + if (node->dir_clarifier == ORIGSOURCE_I6RW) { + //### arg params + TEMPORARY_TEXT(origfilestr); + WRITE_TO(origfilestr, "frotzfrotz"); + filename *origfilename = Filenames::from_text(origfilestr); + inter_bookmark *IBM = Produce::at(I); + Produce::guard(OrigSourceInstruction::new(IBM, origfilename, (unsigned int)333, NULL, (inter_ti) Produce::level(I))); + DISCARD_TEXT(origfilestr); + } + else { + I6Errors::issue_at_node(node, I"misplaced directive"); + return; + } @ An |EVAL_ISNT| node can have any number of children, they are sequentially evaluated for their potential side-effects, but only the last produces a value. diff --git a/inter/building-module/Chapter 2/Inter Schemas.w b/inter/building-module/Chapter 2/Inter Schemas.w index 48a9ada8a..7490f6939 100644 --- a/inter/building-module/Chapter 2/Inter Schemas.w +++ b/inter/building-module/Chapter 2/Inter Schemas.w @@ -314,6 +314,7 @@ inter_schema_token *InterSchemas::new_token(int type, text_stream *material, @e IFFALSE_I6RW @e IFNOT_I6RW @e ENDIF_I6RW +@e ORIGSOURCE_I6RW @ The value of |inline_command|, in an |INLINE_ISTT| node, must be one of: @@ -506,6 +507,7 @@ void InterSchemas::log_just(inter_schema_node *isn, int depth) { case IFFALSE_I6RW: LOG("#iffalse"); break; case IFNOT_I6RW: LOG("#ifnot"); break; case ENDIF_I6RW: LOG("#endif"); break; + case ORIGSOURCE_I6RW: LOG("#origsource"); break; default: LOG(""); break; } LOG("\n"); diff --git a/inter/building-module/Chapter 2/Tokenisation.w b/inter/building-module/Chapter 2/Tokenisation.w index 329f375b9..8eeab63fc 100644 --- a/inter/building-module/Chapter 2/Tokenisation.w +++ b/inter/building-module/Chapter 2/Tokenisation.w @@ -778,6 +778,7 @@ but speed is not quite important enough to make it worthwhile. if (Str::eq_insensitive(T, I"#IFFALSE")) { is = DIRECTIVE_ISTT; which_rw = IFFALSE_I6RW; } if (Str::eq_insensitive(T, I"#IFNOT")) { is = DIRECTIVE_ISTT; which_rw = IFNOT_I6RW; } if (Str::eq_insensitive(T, I"#ENDIF")) { is = DIRECTIVE_ISTT; which_rw = ENDIF_I6RW; } + if (Str::eq_insensitive(T, I"#ORIGSOURCE")) { is = DIRECTIVE_ISTT; which_rw = ORIGSOURCE_I6RW; } if (Str::eq(T, I",")) is = COMMA_ISTT; if (Str::eq(T, I":")) is = COLON_ISTT; From 65865563cd990ab5269760e17d42260b437c339a Mon Sep 17 00:00:00 2001 From: Andrew Plotkin Date: Sat, 27 May 2023 09:11:30 -0400 Subject: [PATCH 14/33] Don't write the second argument of #OrigSource if it wasn't set. (Or is zero.) --- inter/final-module/Chapter 4/Inform 6 Code.w | 5 ++++- 1 file changed, 4 insertions(+), 1 deletion(-) diff --git a/inter/final-module/Chapter 4/Inform 6 Code.w b/inter/final-module/Chapter 4/Inform 6 Code.w index a60df40e7..61cef316b 100644 --- a/inter/final-module/Chapter 4/Inform 6 Code.w +++ b/inter/final-module/Chapter 4/Inform 6 Code.w @@ -190,7 +190,10 @@ void I6TargetCode::place_origsource(code_generator *gtr, code_generation *gen, if (source_loc->textual_filename && Str::len(source_loc->textual_filename) > 0) { WRITE("#OrigSource "); Generators::compile_literal_text(gen, source_loc->textual_filename, TRUE); - WRITE(" %d;\n", source_loc->line_number); + if (source_loc->line_number > 0) + WRITE(" %d;\n", source_loc->line_number); + else + WRITE(";\n"); } else { WRITE("#OrigSource;\n"); From 4426ac0f6e3ba55a14e1a2c546ddc55b3fbe2858 Mon Sep 17 00:00:00 2001 From: Andrew Plotkin Date: Sat, 27 May 2023 09:17:57 -0400 Subject: [PATCH 15/33] Correct parsing of #OrigSource inside a function. --- .../Chapter 2/Emitting Inter Schemas.w | 27 ++++++++++++------- 1 file changed, 17 insertions(+), 10 deletions(-) diff --git a/inter/building-module/Chapter 2/Emitting Inter Schemas.w b/inter/building-module/Chapter 2/Emitting Inter Schemas.w index dfd65ffe7..b1ade5dbe 100644 --- a/inter/building-module/Chapter 2/Emitting Inter Schemas.w +++ b/inter/building-module/Chapter 2/Emitting Inter Schemas.w @@ -495,25 +495,32 @@ more natural |{ ... }|. } if (node->unopened) Produce::set_level_to_current_code_block_plus(I, 0); -@ Note that conditional directives have already been taken care of, and that -other Inform 6 directives are not valid inside function bodies, which is the -only part of I6 syntax covered by schemas. Therefore: +@ Note that conditional directives have already been taken care of. The +only other Inform 6 directive valid inside a function body is OrigSource. +Therefore: @ = if (node->dir_clarifier == ORIGSOURCE_I6RW) { - //### arg params - TEMPORARY_TEXT(origfilestr); - WRITE_TO(origfilestr, "frotzfrotz"); - filename *origfilename = Filenames::from_text(origfilestr); - inter_bookmark *IBM = Produce::at(I); - Produce::guard(OrigSourceInstruction::new(IBM, origfilename, (unsigned int)333, NULL, (inter_ti) Produce::level(I))); - DISCARD_TEXT(origfilestr); + @; } else { I6Errors::issue_at_node(node, I"misplaced directive"); return; } +@ = + filename *origfilename = NULL; + int origlinenum = 0; + if (node->child_node) { + origfilename = Filenames::from_text(node->child_node->expression_tokens->material); + if (node->child_node->expression_tokens->next) { + origlinenum = Str::atoi(node->child_node->expression_tokens->next->material, 0); + } + } + inter_bookmark *IBM = Produce::at(I); + Produce::guard(OrigSourceInstruction::new(IBM, origfilename, (unsigned int)origlinenum, NULL, (inter_ti) Produce::level(I))); + + @ An |EVAL_ISNT| node can have any number of children, they are sequentially evaluated for their potential side-effects, but only the last produces a value. From 6f0abf7d6249b3eca6df80344f01e5e0bdbb4165 Mon Sep 17 00:00:00 2001 From: Andrew Plotkin Date: Sat, 27 May 2023 09:20:15 -0400 Subject: [PATCH 16/33] Comment. --- inter/pipeline-module/Chapter 3/Compile Splats Stage.w | 4 ++++ 1 file changed, 4 insertions(+) diff --git a/inter/pipeline-module/Chapter 3/Compile Splats Stage.w b/inter/pipeline-module/Chapter 3/Compile Splats Stage.w index 584ee8c95..da27708bc 100644 --- a/inter/pipeline-module/Chapter 3/Compile Splats Stage.w +++ b/inter/pipeline-module/Chapter 3/Compile Splats Stage.w @@ -138,6 +138,10 @@ void CompileSplatsStage::visitor3(inter_tree *I, inter_tree_node *P, void *state @h How OrigSource definitions are assimilated. +@ Note that the #OrigSource directive (with hash sign) is also valid +within a function body. We will handle that case later; see +//Emitting Inter Schemas//. + ### This is not yet useful. It converts a top-level #Origsource directive to a top-level ORIGSOURCE_IST node, but it doesn't put it anywhere meaningful; the node just winds up tacked onto the end of the kit. From 338dfccd029985693b00d1797b632eb3d13b3554 Mon Sep 17 00:00:00 2001 From: Andrew Plotkin Date: Sat, 27 May 2023 09:41:50 -0400 Subject: [PATCH 17/33] Reverse temp change. --- inbuild/supervisor-module/Chapter 4/Project Bundle Manager.w | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/inbuild/supervisor-module/Chapter 4/Project Bundle Manager.w b/inbuild/supervisor-module/Chapter 4/Project Bundle Manager.w index f30f851f2..c4156c034 100644 --- a/inbuild/supervisor-module/Chapter 4/Project Bundle Manager.w +++ b/inbuild/supervisor-module/Chapter 4/Project Bundle Manager.w @@ -221,7 +221,7 @@ inbuild_copy *ProjectBundleManager::claim_folder_as_copy(pathname *P) { if (Platform::is_folder_separator(Str::get_last_char(entry)) == FALSE) { TEMPORARY_TEXT(ext) Filenames::write_extension(ext, Filenames::from_text(entry)); - if (Str::eq_insensitive(ext, I".html") == FALSE && Str::eq_insensitive(ext, I".xml") == FALSE) { + if (Str::eq_insensitive(ext, I".html") == FALSE) == FALSE) { TEMPORARY_TEXT(error_text) WRITE_TO(error_text, "the 'Index' subdirectory of the project directory '%S' contains a " From 40a90581f96133699b73c7349263d0cc6571626e Mon Sep 17 00:00:00 2001 From: Andrew Plotkin Date: Sat, 27 May 2023 09:42:13 -0400 Subject: [PATCH 18/33] *Really* revert change. --- inbuild/supervisor-module/Chapter 4/Project Bundle Manager.w | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/inbuild/supervisor-module/Chapter 4/Project Bundle Manager.w b/inbuild/supervisor-module/Chapter 4/Project Bundle Manager.w index c4156c034..4b3f1771c 100644 --- a/inbuild/supervisor-module/Chapter 4/Project Bundle Manager.w +++ b/inbuild/supervisor-module/Chapter 4/Project Bundle Manager.w @@ -221,7 +221,7 @@ inbuild_copy *ProjectBundleManager::claim_folder_as_copy(pathname *P) { if (Platform::is_folder_separator(Str::get_last_char(entry)) == FALSE) { TEMPORARY_TEXT(ext) Filenames::write_extension(ext, Filenames::from_text(entry)); - if (Str::eq_insensitive(ext, I".html") == FALSE) == FALSE) { + if (Str::eq_insensitive(ext, I".html") == FALSE) { TEMPORARY_TEXT(error_text) WRITE_TO(error_text, "the 'Index' subdirectory of the project directory '%S' contains a " From c0aae6022fb8363a311cd7409a96285c504f828e Mon Sep 17 00:00:00 2001 From: Andrew Plotkin Date: Sat, 27 May 2023 22:23:38 -0400 Subject: [PATCH 19/33] Maintain a text_provenence in Compile Blocks and Lines, and when creating an OrigSourceInstruction. (As opposed to a source_location.) --- .../Chapter 5/Compile Blocks and Lines.w | 18 +++++++++++------- inform7/runtime-module/Chapter 2/Emit Code.w | 7 ++----- .../Chapter 2/Emitting Inter Schemas.w | 4 ++-- .../Chapter 4/The OrigSource Construct.w | 14 ++++++-------- .../Chapter 3/Compile Splats Stage.w | 5 +---- 5 files changed, 22 insertions(+), 26 deletions(-) diff --git a/inform7/imperative-module/Chapter 5/Compile Blocks and Lines.w b/inform7/imperative-module/Chapter 5/Compile Blocks and Lines.w index c8d809759..24b904981 100644 --- a/inform7/imperative-module/Chapter 5/Compile Blocks and Lines.w +++ b/inform7/imperative-module/Chapter 5/Compile Blocks and Lines.w @@ -46,10 +46,10 @@ always hangs from a single top-level |CODE_BLOCK_NT|. = void CompileBlocksAndLines::full_definition_body(int statement_count, parse_node *body, int allow_implied_newlines) { - source_location last_loc = Lexer::as_if_from_nowhere(); + text_provenance last_loc = Provenance::nowhere(); CompileBlocksAndLines::code_block(statement_count, body, TRUE, allow_implied_newlines, &last_loc); - if (last_loc.file_of_origin) { - last_loc = Lexer::as_if_from_nowhere(); + if (Provenance::is_somewhere(last_loc)) { + last_loc = Provenance::nowhere(); EmitCode::origsource(&last_loc); } } @@ -62,7 +62,7 @@ most "likely" interpretation. = int CompileBlocksAndLines::code_block(int statement_count, parse_node *block, int top_level, - int allow_implied_newlines, source_location *last_loc) { + int allow_implied_newlines, text_provenance *last_loc) { if (block) { if (Node::get_type(block) != CODE_BLOCK_NT) internal_error("not a code block"); int saved_mult = ->multiplicitous; @@ -102,7 +102,7 @@ So, then, this is called on each child node of a |CODE_BLOCK_NT| in turn: = int CompileBlocksAndLines::code_line(int statement_count, parse_node *p, int as_singleton, - int allow_implied_newlines, source_location *last_loc) { + int allow_implied_newlines, text_provenance *last_loc) { compiling_single_line_block = as_singleton; control_structure_phrase *csp = Node::get_control_structure_used(p); parse_node *to_compile = p; @@ -134,8 +134,12 @@ int CompileBlocksAndLines::code_line(int statement_count, parse_node *p, int as_ @ = source_location sl = Wordings::location(Node::get_text(to_compile)); if (sl.file_of_origin) { - if (sl.file_of_origin != last_loc->file_of_origin || sl.line_number != last_loc->line_number) { - *last_loc = sl; + TEMPORARY_TEXT(fname); + WRITE_TO(fname, "%f", sl.file_of_origin->name); + text_provenance loc = Provenance::at_file_and_line(fname, sl.line_number); + DISCARD_TEXT(fname); + if (Str::ne(loc.textual_filename, last_loc->textual_filename) || loc.line_number != last_loc->line_number) { + *last_loc = loc; EmitCode::origsource(last_loc); } } diff --git a/inform7/runtime-module/Chapter 2/Emit Code.w b/inform7/runtime-module/Chapter 2/Emit Code.w index e75d23017..a68ede3d6 100644 --- a/inform7/runtime-module/Chapter 2/Emit Code.w +++ b/inform7/runtime-module/Chapter 2/Emit Code.w @@ -73,11 +73,8 @@ void EmitCode::comment(text_stream *text) { @h OrigSource directives. = -void EmitCode::origsource(source_location *sl) { - filename *name = NULL; - if (sl->file_of_origin) - name = sl->file_of_origin->name; - Produce::guard(OrigSourceInstruction::new(EmitCode::at(), name, (unsigned int)sl->line_number, NULL, +void EmitCode::origsource(text_provenance *from) { + Produce::guard(OrigSourceInstruction::new(EmitCode::at(), from->textual_filename, (unsigned int)from->line_number, NULL, (inter_ti) EmitCode::level())); } diff --git a/inter/building-module/Chapter 2/Emitting Inter Schemas.w b/inter/building-module/Chapter 2/Emitting Inter Schemas.w index b1ade5dbe..740df8648 100644 --- a/inter/building-module/Chapter 2/Emitting Inter Schemas.w +++ b/inter/building-module/Chapter 2/Emitting Inter Schemas.w @@ -509,10 +509,10 @@ Therefore: } @ = - filename *origfilename = NULL; + text_stream *origfilename = NULL; int origlinenum = 0; if (node->child_node) { - origfilename = Filenames::from_text(node->child_node->expression_tokens->material); + origfilename = node->child_node->expression_tokens->material; if (node->child_node->expression_tokens->next) { origlinenum = Str::atoi(node->child_node->expression_tokens->next->material, 0); } diff --git a/inter/bytecode-module/Chapter 4/The OrigSource Construct.w b/inter/bytecode-module/Chapter 4/The OrigSource Construct.w index 20c7841fa..7ad7948c7 100644 --- a/inter/bytecode-module/Chapter 4/The OrigSource Construct.w +++ b/inter/bytecode-module/Chapter 4/The OrigSource Construct.w @@ -33,16 +33,14 @@ from any specific source location." The line number is ignored in this case. = inter_error_message *OrigSourceInstruction::new(inter_bookmark *IBM, - filename *file, inter_ti line_number, + text_stream *file_name, inter_ti line_number, inter_error_location *eloc, inter_ti level) { inter_ti FID = 0; - if (file) { - TEMPORARY_TEXT(file_as_text) - WRITE_TO(file_as_text, "%f", file); + if (file_name) { inter_warehouse *warehouse = InterBookmark::warehouse(IBM); inter_package *pack = InterBookmark::package(IBM); FID = InterWarehouse::create_text(warehouse, pack); - Str::copy(InterWarehouse::get_text(warehouse, FID), file_as_text); + Str::copy(InterWarehouse::get_text(warehouse, FID), file_name); } inter_tree_node *P = Inode::new_with_2_data_fields(IBM, ORIGSOURCE_IST, /* PROVENANCEFILE_ORIGSOURCE_IFLD: */ FID, @@ -83,11 +81,11 @@ void OrigSourceInstruction::read(inter_construct *IC, inter_bookmark *IBM, inter TEMPORARY_TEXT(file_as_text) *E = TextualInter::parse_literal_text(file_as_text, fn, 0, Str::len(fn), eloc); if (*E == NULL) { - filename *F = NULL; - if (Str::len(file_as_text) > 0) F = Filenames::from_text(file_as_text); + text_stream *temp_filename = NULL; + if (Str::len(file_as_text) > 0) temp_filename = file_as_text; inter_ti line_number = 0; if (Str::len(lc) > 0) line_number = (inter_ti) Str::atoi(lc, 0); - *E = OrigSourceInstruction::new(IBM, F, line_number, + *E = OrigSourceInstruction::new(IBM, temp_filename, line_number, eloc, (inter_ti) ilp->indent_level); } DISCARD_TEXT(file_as_text) diff --git a/inter/pipeline-module/Chapter 3/Compile Splats Stage.w b/inter/pipeline-module/Chapter 3/Compile Splats Stage.w index da27708bc..cdc003284 100644 --- a/inter/pipeline-module/Chapter 3/Compile Splats Stage.w +++ b/inter/pipeline-module/Chapter 3/Compile Splats Stage.w @@ -154,13 +154,10 @@ meaningful; the node just winds up tacked onto the end of the kit. int proceed = TRUE; @; if (proceed) { - filename *origfilename = NULL; - if (origfilestr) - origfilename = Filenames::from_text(origfilestr); inter_bookmark content_at = InterBookmark::after_this_node(P); inter_bookmark *IBM = &content_at; inter_ti B = (inter_ti) InterBookmark::baseline(IBM) + 1; - Produce::guard(OrigSourceInstruction::new(IBM, origfilename, (unsigned int)origline, NULL, B)); + Produce::guard(OrigSourceInstruction::new(IBM, origfilestr, (unsigned int)origline, NULL, B)); NodePlacement::remove(P); } Regexp::dispose_of(&mr); From 35f5af0f7a5c79c12ab0eaeae96b0292ba32118e Mon Sep 17 00:00:00 2001 From: Andrew Plotkin Date: Sat, 27 May 2023 22:26:50 -0400 Subject: [PATCH 20/33] Use the proper idiom for checking Provenance validity. --- inter/final-module/Chapter 4/Inform 6 Code.w | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/inter/final-module/Chapter 4/Inform 6 Code.w b/inter/final-module/Chapter 4/Inform 6 Code.w index 61cef316b..48449a281 100644 --- a/inter/final-module/Chapter 4/Inform 6 Code.w +++ b/inter/final-module/Chapter 4/Inform 6 Code.w @@ -187,7 +187,7 @@ I6 compiler won't choke on the directive. void I6TargetCode::place_origsource(code_generator *gtr, code_generation *gen, text_provenance *source_loc) { text_stream *OUT = CodeGen::current(gen); - if (source_loc->textual_filename && Str::len(source_loc->textual_filename) > 0) { + if (Provenance::is_somewhere(*source_loc)) { WRITE("#OrigSource "); Generators::compile_literal_text(gen, source_loc->textual_filename, TRUE); if (source_loc->line_number > 0) From 8b418768a0ec6612ca0b36d030f35533df4e15e3 Mon Sep 17 00:00:00 2001 From: Andrew Plotkin Date: Sun, 28 May 2023 18:50:39 -0400 Subject: [PATCH 21/33] Generate #Origsource for kit-compiled functions. --- inter/pipeline-module/Chapter 3/Compile Splats Stage.w | 1 + 1 file changed, 1 insertion(+) diff --git a/inter/pipeline-module/Chapter 3/Compile Splats Stage.w b/inter/pipeline-module/Chapter 3/Compile Splats Stage.w index cdc003284..4469cd95d 100644 --- a/inter/pipeline-module/Chapter 3/Compile Splats Stage.w +++ b/inter/pipeline-module/Chapter 3/Compile Splats Stage.w @@ -1487,6 +1487,7 @@ int CompileSplatsStage::function_bodies(pipeline_step *step, compile_splats_stat IdentifierFinders::next_priority(&finder, scope1); IdentifierFinders::next_priority(&finder, scope2); IdentifierFinders::set_namespace(&finder, req->namespace); + Produce::guard(OrigSourceInstruction::new(Produce::at(I), req->provenance.textual_filename, (unsigned int)req->provenance.line_number, NULL, (inter_ti) Produce::level(I))); EmitInterSchemas::emit(I, &VH, sch, finder, NULL, NULL, NULL); CompileSplatsStage::report_kit_errors(sch, req); Produce::pop_code_position(I); From 42532de4777842a40c0051001f58e82604168cdb Mon Sep 17 00:00:00 2001 From: Andrew Plotkin Date: Sun, 28 May 2023 18:57:34 -0400 Subject: [PATCH 22/33] Null OrigSource at the end. --- inter/pipeline-module/Chapter 3/Compile Splats Stage.w | 1 + 1 file changed, 1 insertion(+) diff --git a/inter/pipeline-module/Chapter 3/Compile Splats Stage.w b/inter/pipeline-module/Chapter 3/Compile Splats Stage.w index 4469cd95d..8e9a10a62 100644 --- a/inter/pipeline-module/Chapter 3/Compile Splats Stage.w +++ b/inter/pipeline-module/Chapter 3/Compile Splats Stage.w @@ -1489,6 +1489,7 @@ int CompileSplatsStage::function_bodies(pipeline_step *step, compile_splats_stat IdentifierFinders::set_namespace(&finder, req->namespace); Produce::guard(OrigSourceInstruction::new(Produce::at(I), req->provenance.textual_filename, (unsigned int)req->provenance.line_number, NULL, (inter_ti) Produce::level(I))); EmitInterSchemas::emit(I, &VH, sch, finder, NULL, NULL, NULL); + Produce::guard(OrigSourceInstruction::new(Produce::at(I), NULL, 0, NULL, (inter_ti) Produce::level(I))); CompileSplatsStage::report_kit_errors(sch, req); Produce::pop_code_position(I); Produce::set_function(I, NULL); From e19f50c47a0ccc38707f8a68221df6de317f9e17 Mon Sep 17 00:00:00 2001 From: Andrew Plotkin Date: Sun, 28 May 2023 20:00:45 -0400 Subject: [PATCH 23/33] OrigSourceInstruction::new_from_provenance(). --- inter/bytecode-module/Chapter 4/The OrigSource Construct.w | 6 ++++++ inter/pipeline-module/Chapter 3/Compile Splats Stage.w | 2 +- 2 files changed, 7 insertions(+), 1 deletion(-) diff --git a/inter/bytecode-module/Chapter 4/The OrigSource Construct.w b/inter/bytecode-module/Chapter 4/The OrigSource Construct.w index 7ad7948c7..c5f771271 100644 --- a/inter/bytecode-module/Chapter 4/The OrigSource Construct.w +++ b/inter/bytecode-module/Chapter 4/The OrigSource Construct.w @@ -52,6 +52,12 @@ inter_error_message *OrigSourceInstruction::new(inter_bookmark *IBM, return NULL; } +inter_error_message *OrigSourceInstruction::new_from_provenance(inter_bookmark *IBM, + text_provenance prov, + inter_error_location *eloc, inter_ti level) { + return OrigSourceInstruction::new(IBM, prov.textual_filename, (unsigned int)prov.line_number, eloc, level); +} + void OrigSourceInstruction::transpose(inter_construct *IC, inter_tree_node *P, inter_ti *grid, inter_ti grid_extent, inter_error_message **E) { P->W.instruction[PROVENANCEFILE_ORIGSOURCE_IFLD] = grid[P->W.instruction[PROVENANCEFILE_ORIGSOURCE_IFLD]]; diff --git a/inter/pipeline-module/Chapter 3/Compile Splats Stage.w b/inter/pipeline-module/Chapter 3/Compile Splats Stage.w index 8e9a10a62..124e3d523 100644 --- a/inter/pipeline-module/Chapter 3/Compile Splats Stage.w +++ b/inter/pipeline-module/Chapter 3/Compile Splats Stage.w @@ -1487,7 +1487,7 @@ int CompileSplatsStage::function_bodies(pipeline_step *step, compile_splats_stat IdentifierFinders::next_priority(&finder, scope1); IdentifierFinders::next_priority(&finder, scope2); IdentifierFinders::set_namespace(&finder, req->namespace); - Produce::guard(OrigSourceInstruction::new(Produce::at(I), req->provenance.textual_filename, (unsigned int)req->provenance.line_number, NULL, (inter_ti) Produce::level(I))); + Produce::guard(OrigSourceInstruction::new_from_provenance(Produce::at(I), req->provenance, NULL, (inter_ti) Produce::level(I))); EmitInterSchemas::emit(I, &VH, sch, finder, NULL, NULL, NULL); Produce::guard(OrigSourceInstruction::new(Produce::at(I), NULL, 0, NULL, (inter_ti) Produce::level(I))); CompileSplatsStage::report_kit_errors(sch, req); From 468a68dcd0014cd89b3e44e2de5e7da7a61abd8b Mon Sep 17 00:00:00 2001 From: Andrew Plotkin Date: Sun, 28 May 2023 20:09:27 -0400 Subject: [PATCH 24/33] It's ...level, eloc. --- inform7/runtime-module/Chapter 2/Emit Code.w | 4 ++-- inter/building-module/Chapter 2/Emitting Inter Schemas.w | 2 +- .../bytecode-module/Chapter 4/The OrigSource Construct.w | 8 ++++---- inter/pipeline-module/Chapter 3/Compile Splats Stage.w | 6 +++--- 4 files changed, 10 insertions(+), 10 deletions(-) diff --git a/inform7/runtime-module/Chapter 2/Emit Code.w b/inform7/runtime-module/Chapter 2/Emit Code.w index a68ede3d6..b096aaf99 100644 --- a/inform7/runtime-module/Chapter 2/Emit Code.w +++ b/inform7/runtime-module/Chapter 2/Emit Code.w @@ -74,8 +74,8 @@ void EmitCode::comment(text_stream *text) { = void EmitCode::origsource(text_provenance *from) { - Produce::guard(OrigSourceInstruction::new(EmitCode::at(), from->textual_filename, (unsigned int)from->line_number, NULL, - (inter_ti) EmitCode::level())); + Produce::guard(OrigSourceInstruction::new_from_provenance(EmitCode::at(), *from, + (inter_ti) EmitCode::level(), NULL)); } @h In value context. diff --git a/inter/building-module/Chapter 2/Emitting Inter Schemas.w b/inter/building-module/Chapter 2/Emitting Inter Schemas.w index 740df8648..c29617e34 100644 --- a/inter/building-module/Chapter 2/Emitting Inter Schemas.w +++ b/inter/building-module/Chapter 2/Emitting Inter Schemas.w @@ -518,7 +518,7 @@ Therefore: } } inter_bookmark *IBM = Produce::at(I); - Produce::guard(OrigSourceInstruction::new(IBM, origfilename, (unsigned int)origlinenum, NULL, (inter_ti) Produce::level(I))); + Produce::guard(OrigSourceInstruction::new(IBM, origfilename, (unsigned int)origlinenum, (inter_ti) Produce::level(I), NULL)); @ An |EVAL_ISNT| node can have any number of children, they are sequentially diff --git a/inter/bytecode-module/Chapter 4/The OrigSource Construct.w b/inter/bytecode-module/Chapter 4/The OrigSource Construct.w index c5f771271..05abccb62 100644 --- a/inter/bytecode-module/Chapter 4/The OrigSource Construct.w +++ b/inter/bytecode-module/Chapter 4/The OrigSource Construct.w @@ -34,7 +34,7 @@ from any specific source location." The line number is ignored in this case. = inter_error_message *OrigSourceInstruction::new(inter_bookmark *IBM, text_stream *file_name, inter_ti line_number, - inter_error_location *eloc, inter_ti level) { + inter_ti level, inter_error_location *eloc) { inter_ti FID = 0; if (file_name) { inter_warehouse *warehouse = InterBookmark::warehouse(IBM); @@ -54,8 +54,8 @@ inter_error_message *OrigSourceInstruction::new(inter_bookmark *IBM, inter_error_message *OrigSourceInstruction::new_from_provenance(inter_bookmark *IBM, text_provenance prov, - inter_error_location *eloc, inter_ti level) { - return OrigSourceInstruction::new(IBM, prov.textual_filename, (unsigned int)prov.line_number, eloc, level); + inter_ti level, inter_error_location *eloc) { + return OrigSourceInstruction::new(IBM, prov.textual_filename, (unsigned int)prov.line_number, level, eloc); } void OrigSourceInstruction::transpose(inter_construct *IC, inter_tree_node *P, @@ -92,7 +92,7 @@ void OrigSourceInstruction::read(inter_construct *IC, inter_bookmark *IBM, inter inter_ti line_number = 0; if (Str::len(lc) > 0) line_number = (inter_ti) Str::atoi(lc, 0); *E = OrigSourceInstruction::new(IBM, temp_filename, line_number, - eloc, (inter_ti) ilp->indent_level); + (inter_ti) ilp->indent_level, eloc); } DISCARD_TEXT(file_as_text) } diff --git a/inter/pipeline-module/Chapter 3/Compile Splats Stage.w b/inter/pipeline-module/Chapter 3/Compile Splats Stage.w index 124e3d523..70c33acb0 100644 --- a/inter/pipeline-module/Chapter 3/Compile Splats Stage.w +++ b/inter/pipeline-module/Chapter 3/Compile Splats Stage.w @@ -157,7 +157,7 @@ meaningful; the node just winds up tacked onto the end of the kit. inter_bookmark content_at = InterBookmark::after_this_node(P); inter_bookmark *IBM = &content_at; inter_ti B = (inter_ti) InterBookmark::baseline(IBM) + 1; - Produce::guard(OrigSourceInstruction::new(IBM, origfilestr, (unsigned int)origline, NULL, B)); + Produce::guard(OrigSourceInstruction::new(IBM, origfilestr, (unsigned int)origline, B, NULL)); NodePlacement::remove(P); } Regexp::dispose_of(&mr); @@ -1487,9 +1487,9 @@ int CompileSplatsStage::function_bodies(pipeline_step *step, compile_splats_stat IdentifierFinders::next_priority(&finder, scope1); IdentifierFinders::next_priority(&finder, scope2); IdentifierFinders::set_namespace(&finder, req->namespace); - Produce::guard(OrigSourceInstruction::new_from_provenance(Produce::at(I), req->provenance, NULL, (inter_ti) Produce::level(I))); + Produce::guard(OrigSourceInstruction::new_from_provenance(Produce::at(I), req->provenance, (inter_ti) Produce::level(I), NULL)); EmitInterSchemas::emit(I, &VH, sch, finder, NULL, NULL, NULL); - Produce::guard(OrigSourceInstruction::new(Produce::at(I), NULL, 0, NULL, (inter_ti) Produce::level(I))); + Produce::guard(OrigSourceInstruction::new(Produce::at(I), NULL, 0, (inter_ti) Produce::level(I), NULL)); CompileSplatsStage::report_kit_errors(sch, req); Produce::pop_code_position(I); Produce::set_function(I, NULL); From f9653c237722ecb75e94e384d646536ebb1bfcfe Mon Sep 17 00:00:00 2001 From: Andrew Plotkin Date: Sun, 28 May 2023 20:30:57 -0400 Subject: [PATCH 25/33] Use Str::len() instead of peeking at the pointer. --- inter/bytecode-module/Chapter 4/The OrigSource Construct.w | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/inter/bytecode-module/Chapter 4/The OrigSource Construct.w b/inter/bytecode-module/Chapter 4/The OrigSource Construct.w index 05abccb62..573ece525 100644 --- a/inter/bytecode-module/Chapter 4/The OrigSource Construct.w +++ b/inter/bytecode-module/Chapter 4/The OrigSource Construct.w @@ -36,7 +36,7 @@ inter_error_message *OrigSourceInstruction::new(inter_bookmark *IBM, text_stream *file_name, inter_ti line_number, inter_ti level, inter_error_location *eloc) { inter_ti FID = 0; - if (file_name) { + if (Str::len(file_name) > 0) { inter_warehouse *warehouse = InterBookmark::warehouse(IBM); inter_package *pack = InterBookmark::package(IBM); FID = InterWarehouse::create_text(warehouse, pack); From 7e693b08eddf3efe0ffd273e6f4c3926938f1874 Mon Sep 17 00:00:00 2001 From: Andrew Plotkin Date: Sun, 28 May 2023 20:34:08 -0400 Subject: [PATCH 26/33] Inter now has origsource nodes. --- inter/Tests/General/_Results_Ideal/Assim.txt | 8 ++++++++ 1 file changed, 8 insertions(+) diff --git a/inter/Tests/General/_Results_Ideal/Assim.txt b/inter/Tests/General/_Results_Ideal/Assim.txt index dfa84e3e2..78f58fb6d 100644 --- a/inter/Tests/General/_Results_Ideal/Assim.txt +++ b/inter/Tests/General/_Results_Ideal/Assim.txt @@ -30,17 +30,21 @@ package main _plain package Falsity_fn _function package Falsity _code code + origsource "inter/Tests/General/Assim.intert" 10 inv !return val 0 + origsource package Demo_fn _function package Demo _code local x local y code + origsource "inter/Tests/General/Assim.intert" 11 inv !return inv !plus val x val y + origsource package arrays _submodule package XA_arr _plain constant (list of unchecked) XA = { 2, 4, 56 } __assimilated @@ -65,13 +69,17 @@ package main _plain local x1 local x2 code + origsource "inter/Tests/General/Assim.intert" 23 inv !return val 0 + origsource package Peach_fn _function package Peach _code code + origsource "inter/Tests/General/Assim.intert" 24 inv !return val 0 + origsource package marmorial_prop _property constant property_id = 0 property (int2) marmorial __assimilated From ecdccb16e1bcff749bc698cf86696ac37bc71560 Mon Sep 17 00:00:00 2001 From: Andrew Plotkin Date: Sun, 28 May 2023 20:36:18 -0400 Subject: [PATCH 27/33] Inter version bump. --- inter/Tests/Invalid/_Results_Ideal/inversion.txt | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/inter/Tests/Invalid/_Results_Ideal/inversion.txt b/inter/Tests/Invalid/_Results_Ideal/inversion.txt index a76c90f6b..562eaaf11 100644 --- a/inter/Tests/Invalid/_Results_Ideal/inversion.txt +++ b/inter/Tests/Invalid/_Results_Ideal/inversion.txt @@ -1,2 +1,2 @@ -inter: inter/Tests/Invalid/inversion.intert, line 1: file holds Inter written for specification v0.1.2, but I expect v5.0.0 +inter: inter/Tests/Invalid/inversion.intert, line 1: file holds Inter written for specification v0.1.2, but I expect v6.0.0 >--> version 0.1.2 From 987b5f4736f34c22277c38ea00c30e6784c0133f Mon Sep 17 00:00:00 2001 From: Andrew Plotkin Date: Mon, 29 May 2023 10:38:53 -0400 Subject: [PATCH 28/33] Update comment. --- inter/pipeline-module/Chapter 3/Compile Splats Stage.w | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/inter/pipeline-module/Chapter 3/Compile Splats Stage.w b/inter/pipeline-module/Chapter 3/Compile Splats Stage.w index 70c33acb0..817c74696 100644 --- a/inter/pipeline-module/Chapter 3/Compile Splats Stage.w +++ b/inter/pipeline-module/Chapter 3/Compile Splats Stage.w @@ -142,7 +142,7 @@ void CompileSplatsStage::visitor3(inter_tree *I, inter_tree_node *P, void *state within a function body. We will handle that case later; see //Emitting Inter Schemas//. -### This is not yet useful. It converts a top-level #Origsource directive +This is not yet useful. It converts a top-level #Origsource directive to a top-level ORIGSOURCE_IST node, but it doesn't put it anywhere meaningful; the node just winds up tacked onto the end of the kit. From bf2d76763b28e95c14af8ed5c90e4a2d0abcff23 Mon Sep 17 00:00:00 2001 From: Andrew Plotkin Date: Mon, 29 May 2023 11:14:41 -0400 Subject: [PATCH 29/33] Drop in #OrigSource for the redefinition of OC__Cl(). --- inter/final-module/Chapter 4/Final Inform 6.w | 2 ++ 1 file changed, 2 insertions(+) diff --git a/inter/final-module/Chapter 4/Final Inform 6.w b/inter/final-module/Chapter 4/Final Inform 6.w index c94c43582..335acf534 100644 --- a/inter/final-module/Chapter 4/Final Inform 6.w +++ b/inter/final-module/Chapter 4/Final Inform 6.w @@ -152,6 +152,7 @@ Document for a specification. segmentation_pos saved = CodeGen::select(gen, functions_I7CGS); text_stream *OUT = CodeGen::current(gen); WRITE("#Ifdef TARGET_ZCODE;\n"); + WRITE("#OrigSource \"%s\" %d;\n", __FILE__, __LINE__); WRITE("Global max_z_object;\n"); WRITE("#Ifdef Z__Region;\n"); WRITE("[ OC__Cl obj cla j a n objflag;\n"); INDENT; @@ -216,6 +217,7 @@ Document for a specification. WRITE("rfalse;\n"); OUTDENT; WRITE("];\n"); WRITE("#Endif;\n"); + WRITE("#OrigSource;\n"); WRITE("#Endif;\n"); CodeGen::deselect(gen, saved); From 0af34cce6505b48195a1282a9fc9814452a86d45 Mon Sep 17 00:00:00 2001 From: Andrew Plotkin Date: Mon, 29 May 2023 11:34:07 -0400 Subject: [PATCH 30/33] An Inter error message changed. --- .../Test Problems/_Results_Ideal/PM_I6SyntaxError.txt | 11 ++++++----- 1 file changed, 6 insertions(+), 5 deletions(-) diff --git a/inform7/Tests/Test Problems/_Results_Ideal/PM_I6SyntaxError.txt b/inform7/Tests/Test Problems/_Results_Ideal/PM_I6SyntaxError.txt index a4a8af572..fe6b3bf25 100644 --- a/inform7/Tests/Test Problems/_Results_Ideal/PM_I6SyntaxError.txt +++ b/inform7/Tests/Test Problems/_Results_Ideal/PM_I6SyntaxError.txt @@ -1,16 +1,17 @@ Inform 7 v10.2.0 has started. I've now read your source text, which is 12 words long. -I've also read Basic Inform by Graham Nelson, which is 7792 words long. -I've also read English Language by Graham Nelson, which is 2330 words long. -I've also read Standard Rules by Graham Nelson, which is 34311 words long. +I've also read version 1 of Basic Inform by Graham Nelson, which is 7888 words long. +I've also read version 1 of English Language by Graham Nelson, which is 2330 words long. +I've also read version 6 of Standard Rules by Graham Nelson, which is 34398 words long. Problem__ PM_I6SyntaxError >--> Inform 6 syntax error near here (source text, line 24): this is not an Inform 6 directive. Problem__ PM_I6SyntaxError >--> Inform 6 syntax error near here (source text, line 25): this Inform 6 directive is not supported in kits or '(-' inclusions: 'Link;' (only - #Ifdef, #Ifndef, #Iftrue, #Ifnot, #Endif, #Stub, Constant, Global, Array, - Attribute, Property, Verb, Fake_action, Object, Default are supported). + #Ifdef, #Ifndef, #Iftrue, #Ifnot, #Endif, #OrigSource, #Stub, Constant, + Global, Array, Attribute, Property, Verb, Fake_action, Object, Default are + supported). Problem__ PM_I6SyntaxError >--> Inform 6 syntax error near here (source text, line 36): in a +namespace annotation, the 'access' must be 'private' or 'public', not 'whimsical'. From 2c0b478cd0d308adc9cc7d385b2bbc1b6d7f3bba Mon Sep 17 00:00:00 2001 From: Andrew Plotkin Date: Mon, 29 May 2023 11:36:43 -0400 Subject: [PATCH 31/33] Inter output now has some #OrigSource lines. --- inter/Tests/General/_Results_Ideal/Cons.txt | 2 ++ inter/Tests/General/_Results_Ideal/ObjKind.txt | 2 ++ inter/Tests/General/_Results_Ideal/Predeclared.txt | 2 ++ inter/Tests/General/_Results_Ideal/Primitives.txt | 2 ++ inter/Tests/General/_Results_Ideal/SimpleKind.txt | 2 ++ inter/Tests/General/_Results_Ideal/SimpleRoutines.txt | 2 ++ 6 files changed, 12 insertions(+) diff --git a/inter/Tests/General/_Results_Ideal/Cons.txt b/inter/Tests/General/_Results_Ideal/Cons.txt index 371d856eb..54f66236e 100644 --- a/inter/Tests/General/_Results_Ideal/Cons.txt +++ b/inter/Tests/General/_Results_Ideal/Cons.txt @@ -11,6 +11,7 @@ Constant Budger = 32738; Constant Danza = (2 + 34); Constant Sahara = (Danza + Bidger + 1); #Ifdef TARGET_ZCODE; +#OrigSource "inter/final-module/Chapter 4/Final Inform 6.w" 155; Global max_z_object; #Ifdef Z__Region; [ OC__Cl obj cla j a n objflag; @@ -75,6 +76,7 @@ Global max_z_object; rfalse; ]; #Endif; +#OrigSource; #Endif; [ DebugAttribute a anames str; print ""; diff --git a/inter/Tests/General/_Results_Ideal/ObjKind.txt b/inter/Tests/General/_Results_Ideal/ObjKind.txt index 7ad5ba396..bf36fb808 100644 --- a/inter/Tests/General/_Results_Ideal/ObjKind.txt +++ b/inter/Tests/General/_Results_Ideal/ObjKind.txt @@ -22,6 +22,7 @@ Class K_mica class K_stone ; #Ifdef TARGET_ZCODE; +#OrigSource "inter/final-module/Chapter 4/Final Inform 6.w" 155; Global max_z_object; #Ifdef Z__Region; [ OC__Cl obj cla j a n objflag; @@ -86,6 +87,7 @@ Global max_z_object; rfalse; ]; #Endif; +#OrigSource; #Endif; [ DebugAttribute a anames str; print ""; diff --git a/inter/Tests/General/_Results_Ideal/Predeclared.txt b/inter/Tests/General/_Results_Ideal/Predeclared.txt index ef1bccfee..cea10daa3 100644 --- a/inter/Tests/General/_Results_Ideal/Predeclared.txt +++ b/inter/Tests/General/_Results_Ideal/Predeclared.txt @@ -7,6 +7,7 @@ Global or_tmp_var; Constant Banana = 2; Constant Peach = Banana; #Ifdef TARGET_ZCODE; +#OrigSource "inter/final-module/Chapter 4/Final Inform 6.w" 155; Global max_z_object; #Ifdef Z__Region; [ OC__Cl obj cla j a n objflag; @@ -71,6 +72,7 @@ Global max_z_object; rfalse; ]; #Endif; +#OrigSource; #Endif; [ DebugAttribute a anames str; print ""; diff --git a/inter/Tests/General/_Results_Ideal/Primitives.txt b/inter/Tests/General/_Results_Ideal/Primitives.txt index 6fb1ddb54..d44df85d3 100644 --- a/inter/Tests/General/_Results_Ideal/Primitives.txt +++ b/inter/Tests/General/_Results_Ideal/Primitives.txt @@ -5,6 +5,7 @@ Constant Grammar__Version 2; Global debug_flag; Global or_tmp_var; #Ifdef TARGET_ZCODE; +#OrigSource "inter/final-module/Chapter 4/Final Inform 6.w" 155; Global max_z_object; #Ifdef Z__Region; [ OC__Cl obj cla j a n objflag; @@ -69,6 +70,7 @@ Global max_z_object; rfalse; ]; #Endif; +#OrigSource; #Endif; [ MyRoutine x y; return x; diff --git a/inter/Tests/General/_Results_Ideal/SimpleKind.txt b/inter/Tests/General/_Results_Ideal/SimpleKind.txt index 1136d1e00..23d5eca3c 100644 --- a/inter/Tests/General/_Results_Ideal/SimpleKind.txt +++ b/inter/Tests/General/_Results_Ideal/SimpleKind.txt @@ -7,6 +7,7 @@ Global or_tmp_var; Constant I_green = 1; Constant I_red = 17; #Ifdef TARGET_ZCODE; +#OrigSource "inter/final-module/Chapter 4/Final Inform 6.w" 155; Global max_z_object; #Ifdef Z__Region; [ OC__Cl obj cla j a n objflag; @@ -71,6 +72,7 @@ Global max_z_object; rfalse; ]; #Endif; +#OrigSource; #Endif; [ DebugAttribute a anames str; print ""; diff --git a/inter/Tests/General/_Results_Ideal/SimpleRoutines.txt b/inter/Tests/General/_Results_Ideal/SimpleRoutines.txt index a2924cfbe..cb9a712b2 100644 --- a/inter/Tests/General/_Results_Ideal/SimpleRoutines.txt +++ b/inter/Tests/General/_Results_Ideal/SimpleRoutines.txt @@ -5,6 +5,7 @@ Constant Grammar__Version 2; Global debug_flag; Global or_tmp_var; #Ifdef TARGET_ZCODE; +#OrigSource "inter/final-module/Chapter 4/Final Inform 6.w" 155; Global max_z_object; #Ifdef Z__Region; [ OC__Cl obj cla j a n objflag; @@ -69,6 +70,7 @@ Global max_z_object; rfalse; ]; #Endif; +#OrigSource; #Endif; [ Falsity; return false;]; From 2cb89f11dac08983e48e965c122e2f6adfe18cdf Mon Sep 17 00:00:00 2001 From: Andrew Plotkin Date: Sat, 3 Jun 2023 09:44:37 -0400 Subject: [PATCH 32/33] Update EnglishLanguageKit .interb files. --- .../Inter/EnglishLanguageKit/arch-16.interb | Bin 26604 -> 27500 bytes .../Inter/EnglishLanguageKit/arch-16d.interb | Bin 26625 -> 27521 bytes .../Inter/EnglishLanguageKit/arch-32.interb | Bin 26610 -> 27546 bytes .../Inter/EnglishLanguageKit/arch-32d.interb | Bin 26631 -> 27567 bytes 4 files changed, 0 insertions(+), 0 deletions(-) diff --git a/inform7/Internal/Inter/EnglishLanguageKit/arch-16.interb b/inform7/Internal/Inter/EnglishLanguageKit/arch-16.interb index 064bb17dd5d21672b4957afcea3a2ff06be08e03..00304181db459825023d953f7aefd435ba8f8614 100644 GIT binary patch delta 1054 zcmZ`$OH30{6z%JDURywjh%r)PMvY=Hikg^M2n3?lpou1i$fpHTU`iX>4wix_3*X)7 zR((4bs9`0)0)rMPP@v`KLZb_I2C5qu>_}L+!S`kgteC}|Gv}Ur?tSyNzoYF9^yZha z3SY;!_Kdl(2uqNFBrL-Util?stD8daMPbJ&(bV-5w4+FTnIW;K#apW3`6^GV?2)P~ zAK!CSH`U*MbjP6=TD(%36qb9!SIVy)Sc6KqR7&^nmk;ZXV5`rQuKPUFB_2^G6}k&0 zB_tKfI{0W`kxiW`+Rer(lfl^HRU`JBdGu#aMLrB7B*73uB`}NxsUrX*4BP=2r9W~i zjS$gcOowqDCO9;KiUePAF-$TDcEe|6WgrK`O6WO!LD^wh!`QH~HIPiJR<@ z;HF?3B$3$ZRYGA{MmVU10&YL7Ah9{*lRdDCOr9>kAJ&k$TMjiVoieQRZguFP(Sk9C zPvjLHe3C?iRH-RKL$t5vBO0b>Yx6B5B6*Lo!9Z(j?Pr*Cl)M$mKpr-xFd49!(W1e! z#RSdLUn}#l24p8eB}Kp0 z?TW0zCNI^O@}(!p2K`s>IIdz6wPmt=43nH@&_B-0wAYzg5EV!?*D8`|UWS%@W8Z0l zDf-lTvS9jX!;EH#9c`GUCRYjjWl`;ymAmYGr#bSQhFuTN&0`Wv?-b`WLtAfwQ>#W7 zY06d1&J$zj$!^fjvqb*VyAAGJ2~6U)W11_;X_iKpIn__O!l|CP%Bh~XMmHOHVw@#r aHCFKpTqipsNgQ5a;@|=k7^`yQYwLg0lwA7& delta 704 zcmZvYv2PMl9LMj+aqpm2ViavG##~G^rj5ejAdnb!u*A*DSSoN$j+SuT5x7>=#J)Fm zGbX>?#5h^nQn*%1X`#eFKwaESs)<_{9lAKQ_YN8zdc*xb?|pvv{k?np-(deUy!kG6 zSg*c!=&^r`FRzJjlL~%~oV%b{TE&VFDbAD2D%bhy#+?ZM84KH&#{UNF%duO*1yI-$ zK#WyEREh<*>=d=YRzS>!!)(23&PVV*Q*#z;4jMPXGm|h`3%td-@+{lpTFPw?&GVp6*pByf0F5FSdj8kFHDq1BMju>#=vspy$wOK8pg z2#u+mago|*CFdm(iQ0pXI7iuyy2{Z92ZY)ozdPud1Oerz2k1>j@j>eT$O@s&(6G}% z*eb^%7i$RV(UCQYHk}ZDv()`1rnI5Vm@+7G@%@QQ zykXwmC7uMez@RJz3bbsVJjuxjoIH5LpeOac85R%DVZQHu-}f&w4?h7eLC+WWJboNl z{^QEUX`I0nrg0YMa2^+M(faCscM)AhOU@emzk;XCm1fb z@xX;pixyIDR$Q;DyxCND?&|qU#|Va%Q+E95>0?__-7w3QjrvV{+ppAHA|c23Dv^+K zI2dL<#idrdcs-k}cqCUaY>oO)0NJM6rYYOXFu0>UJOZAmVYJ~WxV!jq z47^&G+8)t0On|$aE92m~t42(m5Hl2<+{h=v)oS1rcy4!ggmGGgqHQ=MLViq%klu=E zkUPRg%*0tBx)Ezvqc{h0b1b5Ta2`CN&S(@DzUvp*@Nn0=wtEfm+*#Nt10lkmFaUrB#A|9{Cj!w9epa(S9!#>Lg=ePFHB3mWiHqe zt41s0kvM%O)4n_cf6hqKMM1r=N@!o9kEbQzf}K8I>sNTXpT3Z3d^1gG+-@pKTqJ~b z*OuIusg*CUfSX#mGJna03%qcE_Hx1tzjJYqmr%dM968l<1uu0Z8(i_qc19sHvR4hfpceg%$XRSBA5 ztHbPfpdf!lro(wo*MGcG+Z+3@mk=I|vpw}?ULLT2*Nu9=m_0#P*^~N9`zHxaY|Ksy znrRQ564aSJ&DQHn`Q8b>_kjj`?-}}y1sc?oDMFKlJMDtBpjkGZ71a5fIYFI{^MX1Z k7ub&m(J{$8?rXdtE^m>p$uzZnd8w_-OA%sqH$Kkz3km9BO#lD@ delta 699 zcmZ{f&ubGw6vy+j*~t%WDPa|AdRPQQiD+u^U>Xn+#NtJ~2qtZkEo|D5O|~T48a?Pf zBzNI?6hV5jX`7~7P203dbMorVlTelZ0bY8sPwkx>@u}O=YmjjjU z$cqJBvx`!|br5pVC~nxLxQuo1+eIxJdg%pj!my#_Rr&u;0|d>Mx4@(6dLAthD)w?4 zylO=$^94N5m7IaizPJPK`Dq<@!LztjUcfybYk6$(xHymdAeI;Ol7R=n zih8M_XxIjEu9Q==*a1&=Nz?EUyvu57PA{w0t0zM~diSggpXg~K0;`0Hk5Hp{;tH(M zgJf)2V(y>JTnTxh>+&7Z`=mN5F*v*Day=5wn&gFF%&diccIpC(%)~|pDKqQNA$l`& zGcK4`P_!qJiKf*z;vBtBt7{y6aKcP0RSD}z3G=mZUp^ne$cPxi592TTwkuL zN7Y=-f*|Y2cN-)5k2CUCizKN6q|Ex}aBE}z))l0TttaEk39pT$?2@e^U?e=pUF_q| zqHOF2P%#d03VT3So13tggRcqu*oU3PHcVL1Z^3{Cg97T&06ADtfG;^jZsIFQI`(V*2;W{v(CzA(8H=Lvz@&PeM*QV zvD#~%y*$}RA1buVMQkZTyW9?#lAKFiFeA^eX$N^EL7ylz?jj&==bWGpSSB>?cJj0Y zTrkr)RUVb6`)Q{_6YFV06J}FM;vyj|RCT0Xp+=UH0+||_3V$ht3%qcEc5}iDe{pe- zgHXd9;7keC0e?4yrFABniUP4$p_LMJ|GICLI!*tG@Y4ikKdP1$e&X9KR2PcBHAp`_ z@|Ny02pw{7df^v$KX3I^?pNZt>oj-pGHwQQ^T`mR@tp z!2{;sDyi{^(PMO(-KnX_87FjfZT5tq>E^&mL9N+S?0ZcCUwf3V?W#4`o~A$8`C8w} zB%u@T?PkG@pcy8e71a8gIYF(C^MYC(7udI2(Q$%z%&aRHcehAa6q?+;yX3~*B?&P? Ib@$W$0p0>{%m4rY delta 698 zcmZvY&2JJx7{>QycZUyaV}wW)V^)pE)F_RKA3$P^9@=>GXhC2xOCc<516xFUaOULI zWNuBP1I0;eno zBI_JIHr8k|alyYKw37(Y--+ofEri>n z?%N!P-FG++o9)s}GDw$`Av#FLAG8tf1y0+y=x`jfagXEh30;oEkRHcj$UZHrLAs_+ P{r8n$?5||r)I0Yd&Oqz< diff --git a/inform7/Internal/Inter/EnglishLanguageKit/arch-32d.interb b/inform7/Internal/Inter/EnglishLanguageKit/arch-32d.interb index f7b2de6b5c29155a5a7ddcdee32d1c040613d1af..5e0d6e03f5650ef4c00a58d80ab17d7e99267450 100644 GIT binary patch delta 1093 zcmZuuOH30{6rI<0UJIg8f-zE~6O9TO#f`y2Gy)QW7)=ZjN`Yo5(@!WfSO!ElzPnHo zH)7t>#7|hsuiyaXBj1*vD_6QAf-4v9j2olkdo%nN&SLI)=bU@b`*eLo@z1Dx&AtpD zhSxW3nJ^Cvkc1R0!V)aQ3alDm?2pep`r(sq%4(=x4k@LYs>kGj9??`uS331{iG6`^ zky%ew1e=tgR8@SZysXM!TYCF?nI#0m(iPKw{_4dYtE%Zm(pLYrysMX~O_89bJEcfa z$_a#NcV51c%6pNKvw2MrDv^-sZ;iHx{Co3+jJ+$vU*NOh4ToS0gpCQ$r7T)<@LD$X zA|yc{LWR(e>{4qB46q`%z#uI?SZss1RfeoGY?XJs_`yK-NPa$yu%dRrC`w~RQFSf$ z0LIV(U2ayST_%C-QDz=Tj;N+J!vwN-vgIUlDxGpmL{(r4*&j0IJ#yTaBRWj;3<+ko z{Ikdw(qIlbTHD*gFwafVW?0}R4|}7Hg5Cu!O|MSVRfJGI9jl zqfuBv&JHEksI@7uN{4exjImppE{rj}B~R$$-4yDjPpk7#A04fJgZimfo$VSB$t#Qn zfyQb)$C-1GJQqn%7Pf6*(&KibdBL^L2-7lAqwryI@{+o}$DAVRGwA}aM`H94c`cH7 z7DoKhZeS8Oy9I%f7*pcC@JJ-a5pe_AiIFL?pNJX38iz?Q1FZ2oBab>UG2AYmyTMr} z`nf}_ZzDdGH}Iq)%f;d8ZQW{hnfe8;r*TOCc)yBl#dfn+Tf|TDj(nnrePxFXOcL&W zEEB^Bhw1dM?_5SXhiN98p!a;)N5(~x$g-yH(I!l7^1s>?*U)=v@49@p?>*dQyOlIBt&4v_* y875rh&^pZ$ht|Yp4y}nR^jAHfILjuUZK&Xnw@QA9B)R)|$(_ebVr-CxuC#xOMrSAh delta 719 zcmZ{g&1(}u7>D=G?oK|erL?P{@vsPn648_*Y8nvn($Z6V({4?&g-si>$(CdrqaeBbQK6OORZ#aBJ-=QYj4CPuC( zk3c0$03)OfqB@@?@13G&$ufxPNQA67C08UB@H@rG*;f8OS%qF(&lu{zohpc?Bd>vE zT2_WI5KGQ-9lS&275a>;G>`JwBQ|mJQao| zTA6wcWjd*yr4yP&i`vy*1$hn-eiQUUOYrT}FPd>iL2z_a5XNO(R=n^7;d0RDqyo^} z)6u?eig3mKh*qaB$3)EfWoMF+j9JHsarC;aE^ze0A;K)^cYo9+L7?Mz59w$;N}tAW z4KE{H3!ZQcL{>NkT&#$=*6){bO$oT|hP#$TR%s$}>Rk1BVa*lT@xnS6=s`S0UnGX; z*F=;KB*)Iz5UzI)vca)^kWG&K0*GwUhsg+K$teAuj9;%K+zg&{gKdsIF79w_-=@K_ c-K5E}-DH Date: Sat, 3 Jun 2023 09:48:38 -0400 Subject: [PATCH 33/33] Build the DialogueKit interb files too, I guess. --- .../Internal/Inter/DialogueKit/arch-16.interb | Bin 119657 -> 124380 bytes .../Inter/DialogueKit/arch-16d.interb | Bin 119678 -> 124401 bytes .../Internal/Inter/DialogueKit/arch-32.interb | Bin 119821 -> 124544 bytes .../Inter/DialogueKit/arch-32d.interb | Bin 119842 -> 124565 bytes 4 files changed, 0 insertions(+), 0 deletions(-) diff --git a/inform7/Internal/Inter/DialogueKit/arch-16.interb b/inform7/Internal/Inter/DialogueKit/arch-16.interb index 5634b2e9c8b3b57440d1634b2fa6396970454f91..bf6c9f941244468e7f7e3eeb82d64f5af2cfcecd 100644 GIT binary patch delta 4608 zcmZu#32;=!7R{MtmP|IH3CjN1RKTbx>L(O@6!;j{5J3clI3WWD!c3T%AbaNZ0|8~9 zK(i{lvJ(U!K|vy-qN1qCj)t%=kxe!g{kOX_L8_>t>U7_8?!Dc&Z@->!ZiW5mH}>gA zql|bXv8c4ZwSfJ^_OYMYes+KzWQW*cc7z>e$Jj6IS60f7vodyqon)ujX?BL4W#`y= zc7a`Fxoay(8pAF(v?2fTTjE0C(O z1xOI&fPmX4tz=p#3qYF`o0p<@d9zy3@E%qBiBO(5Hia!hmBx)5YhAnn&81~$X*6Mr z@!-E~xld;Im*yp5ugBO@BnXAat7W-e0m&|_H09=a+|sl>>`=f~z@k0I-bb`+m@6mO zm6hveA0Q^*b9cHDmd7==3J#w;*FDVT39!|0=J+aSYb3uGPFMf_ZofZAgjk2z9M8Yz z>!oG`qVv?DyR%u5$dYw;zEMg(M6}P{Ki}u0%CJp{^LRZecMY4R<|D-T^Sqvb%j5Ru z`PTGzo&JMVtaux><3u-I@yoBaUE30 zPvImMwvV@~gXa@}mYtQJ?|(xJc&Ux{hZTwJ0Aj?IqMnn?!7%e6JA}A!Z>m;19Of+S zNO;(k9YqzArFr}TUw;2Uj@Lt7aSRTRdw4+0@oVfCMCH2t0rsmXuEt9F(K=`tc^tJv z#p7#RSXnrdg`EfwYqOJhn1WI>R(6x-%@e`>PIij7)=>uu}i>pX+$WmB&mMu2*VO(M9_D>YIY7?gMinUnd8rN(E$x}XOe>v$=B6Gt!N`k$|9?W zO5>~ABxB{5>!D_}VUvutTF9G{tQb3gs=i!GTX1o2W3$DgW)8a0gsLB>>W z!JkKpDpHYPW;H;o$W#NR|Fn*X*la{f{K&9MG!47NEk?A&Vk1W4RwGX0$3}vA_))B= zQb4bv!-%j)mO{kI6fdLQup~s4q9h_hQO7GNdNA!q)IC&L1x4?u-LTz5ov5Jbd8L2% zP$w%WDp+^B;k<`CRl(6~Y&T->p-xv&^hn!{_zDVg^=B$5db{mL75;K_w6)r7Y*0Ke zY>w7et8Ta1_>axetT9;!KVa44l5DsbsmE2b+w`~^4%)1vRE+F$+r*Q;ZYr9ID7m$H zMk<<8lti-XsYnynA@%r?7N~2UO+{@BammC*wN#uZ#q7`$CqU+EWNz|bW%jl*hyE8E zVYlkFY_^C9UjGHO7qRkQG6%kZ1QDh#=>u($F3dxFn4+A~Y_2@Ip@;BzbFjI#8@Nc^k`lkz9ceZ)4-bwrCw508;qZcVDCz<7 zw6PJvLVl(P9wDNgB;sB{7eR~+a`V+!$b~{t3|`Pvg&Gxn*WA?;4~kHorN_Zn(OP(n z4ld`(z0i}0Zj#9DrHC=XBEGU07Gf-K-y4sh!1VM+4b=#+{52C+5k80HWv{E#nUH1Hbt&@@GB?OlQ6}b?gR)RZ?02XPGpRoc#BwH4 zQLWk78-=&c{cpf(Aw|Aa92ul)_)c((`N&{QjUr}*WHx!xUieN9?&i0=7(m1rN%YIZ zAVEwC?lX7gp`D!=T{6z$c&;8XQ}u_e`gpn&;w#X>rjM65!BI*^smrL)P1CDe^+|Vi zlPYzSq;6h?Zn|E}s!zGAn^LKpB6VfMks($zL$Ax5j=(a^H204{JyC}lQgCaey27FV znaxMxB~i{74`MlY9WH=UB z^|eW{mWzDHIB0y`c+A8?^M$wYAK+bKOX5=}pek){t&Fy80z4x7dmVYgM6|^s-gFY` z@M;s0%qLDnDi&87V$AZ1$QGY~B3fV9$>=T|m$>+%$?#yQnJ@)Kz%pJk6&l6gEaM-a zih)A5+{fEZLm^i1!_)8_-sg$aQT?G0ta@?9$vkb-i{;5gv6cMA>7-euG^_8cS;JRN zM{BHAnsxWptmidnpaV82P0@Wd8-?ac{^bnRz=uk>>Au3vO4w#52|rRo^S;6@=Jc7M zABe@gZy`ESA&O-oRu-bIXtS-sY5aU4(i|UK^=(N`%L!gSi;njb-e(q`#5VrUEc$Y6 z=NrZBQ(iU;jj@Br%|=5<2|376&&*b#J_{Z+1GDLjC`pNA)^X5>#Kv|8&+w=@bex~_ zv^iLbUHsY{s@500*<8%Wm*(EN^fwZ|;%DZe1$Ogk^O20NdGq;{>>D-vmX8#(J$(6m zl6=RH&&OPR&qpo5^AGK{>bsI0@_y2(?Wz$?FI5!#fj=<^)g3<)Dc5pxfx1^e>4|*o zBI>D(g=mO<=J18+5Sj3^Ro|WDu-qV!>aQ2!IkEEn`lI~LBJ@r;VAc1Kpo~BYG8Zes zLH%hyb20ol#BEFP9c}#w$?sc&mLkAmy(N!diUUOaEQwP~;St0UJ>5)ShS@e8Gp%hh)6lYgCQP^pM$g zEdt^VIz|_wWIeJ(o)h{8Q`>;1z)7A_gnl^1i;Cz>Pjj-?;Wvxu6LZE~un}W{vpoGn zx@b>r!bzOt$(v=G<1)>&oAJ5`cU~_uXKqF}@i?B6%!w^{t`RX8n8m7}3xC+e&~Bk$ z4(HTwh~s3C@~4ZH{346A>g9Lk$#!&iT(|1i z=`xh_p<7X%Kl~|{;|AaUDSd5ka{CUvLYu!X^Yz`Ky6P5dX|CCU!QwHxEt!XQVzgMv zZPuAj--$N(gYVmk!T6KAK1Whqq<-gtXsdoF$r;K1GRaipz#U$H4;nlECbI}(cG-g( Gw*LbhxUs(g delta 2961 zcmZuyYfw~I66PCV1_q5UAd8@0W3t&05jC}FOvNHmBd9gHM%^+#aAdAH3U`K?0Y#$7 z@reqkgS7ZWQ3xvdK)JpZ5K#dIvRcVTS9INMtjdX|ijP!}gJi+ihQ%nkA(=mPrf=2l{D@;*hM#9($(UlcmE> z1an4fiq)=|MLK%0n_xuJE&<+QH!AP)w32U(+X%pwE5 zwHg+ON3u$`g?fQZU)?S&HP)7_!^_aCtDS|i)uThpUqPvMMb@D#7^FXl6$rLwTJ5RU zg{iX0Mo5P8+WiuSp^{jMAXko@DrZ_1k5~n>-Q{}e<>&#cVYVhG%lb@Yk&7O7WVjTu z6NWj_q6C;Hb^-5;-GC(a08_+XAXeHv$8K#dSTbvA?(djc}vRG|~PMhzb@rbfzi7d-dHgA9Ir)>dY;jxf$Vo z^iddX9gYBlXr@6UF(_EH=u~r*_r=uTh3M&8xhKB?+n)?D$NP!fI^9a!M&d1#xT8_w z-rkmQjce1nJM?fkBBMmR#)Z6U-tFXw4n3k>?ehV~`i~I}oiF13{LY9*eXSKu`l^bX z`g&G0>+3nus;}q89euqZ+SR-`l=sf56ZJu&-sIm2El-kY5Z6rNTCadk)cN`O>C~ex zs!?1wiR;~{$6ZvDxM31Ex>0}cqHc;?CUL79^`whx7A-SOqNN-6k1noN+%}2Z-Kg)o zs5_#~B-*+t=q>)Ui)t4gCecBL1dJz30zRhg2^dK&2^c^>X;yS1hEY-?CQ|(*3{+bZ zG0;FiPsT(wehNB4?^@W*wBR^s=rlI7r=f>|3Z}!NKADbP{Vn~VAWv^)v%>eVC|L9GigQ;oKw$Uu$BoHfjb--AAt@gc31 zF@&mR&L6l)14pJXFH+DORI-?}K3*yS5*oaO!pwcq_@@JED0Acu&_G#csAGpc~ z=8*9q;<4HX=K4TE&N9SO#X&@3jgMLDW7heYA!W?0_c0rM%tnowgghU($p>y$e=I`_ zu!YVY#uVyN&Lf*$j?a)!rRA)r0&DOC`i+K5x0&|~+nhc%B+^3O2+JkyTGn@H5Ma_ty)0*{Mv--8L zI6K-9rB=0Qlde&(wZdh5-D!h6=v-j#FQI0`zvxm6 z`jf34hjE_F9Z0|h`n&_P=tKvmt07-wv4K|HW!=8G%QLu0@%LEsm+06%NVu%F-a}mw Ru2A7au5(qbdx%Km{{Xdd2ciG~ diff --git a/inform7/Internal/Inter/DialogueKit/arch-16d.interb b/inform7/Internal/Inter/DialogueKit/arch-16d.interb index fe075f38ff969bd874b9420a2be6bc49a2bf514f..f37e35bbaba6307ba8018a77b30538d997565347 100644 GIT binary patch delta 4427 zcmZu#30RcX8s;6C85lrt2``%YgSKI0b#E^CNP5+)sJUI#+#6uTQJ5KK1`;=B&hJJE zf&&~4G11ISO|j?~O_W?pOS9Z}+%q+`+;h2SA<5^t&*SsF|9Ri{`_6aH`OX~m(I>_m z-x~{#2dE9yh84A~^f_c7*-s9TgX9o7OpcJFFQ^G6>vnAwm z2q5nx!j};rp(7tidX9uV3HcJ{N+^&}$RU!l+mvKMFX0g{k);T$TS=0| z>=w$$PpCAj%_68}+?+!`flhgeEJu(z%WO?EC#6})rwGop)el!d_liP3L!i@=X2~+! z++-z8R_Bw^Dxt52$()>Qak;EK#pejI+Wt0PBRp#n^8UAX0d0wh=$Ni+uw=x@P9eZM5@@z6taOYGm&hB zzHdUm9t!!Ix8EihyY=dy(2b3&`FJxLcJI+G;lBy}`|(C}XFDtk*}|0?MDV>FY_q02 z(k$r~o7$HspIiAwK|p$!?%6*~5oFvT7%jy}(rR6Lh0YM5%^SW5{1-{=G z|Nkxgg=?1(>3b_LQb&H3MP8P0MZ#4Hzj4SR*I;7PjJ0RlQdsKi@*1-KP3GrEZotHr z%Xc-E9rW)!`5%a60qpj>gp04W-f@#VZiytR<}8bn>0n83i}4_fD^vck-QlLY;%Iy? zjC)f@?(tHZllwqC`4fgNW~arRLLNN%dPx5ng;(&1z8i&q=pXB9{ru=h(P&DGqHw6O z>K72|M;-@dnVnXCEnO<;v}i;Is=5HxkG&bfYgF0e;O7_N+glkC+{I4~5So88J~oVD zv8tZlkH)isszE4v`dlkC)2l`nBKJMb@SE>37{7Tge)KsIu^=gp7Dm7T(1~pXRMm0=3_aZ97bJznKsQMZGYr*r3 z;g6|N)rAGrqQ=ipN~h~2_Qo33fTyH0brO4ejjG`(>1>_EUS#&?Dd}9D#2)HIqiT9e zJ71@<2i&NJJSAPIli0g%R2$Su5Y=C-lh|`_RKw}Gj_9s87|8erbVWyW(d)fNgMnV` zh<|Z*LL>T*I4Fz_Wo)}R$)-I=Q{(VDV;eHIBn}DOn;uQCcS4l*Fb>b@nDz|QB0EdX z7ORcvjI&^LB%`~$C24!SHuEj4gHi8oVKA_+?9dfGc`ipUZAw>!@ibA)pW6)sIGr(E zJJ=0n{)~Q}(HT9_iPO#;ZADLPo&1qLWUC|rcS@Kws+}4M!kMZvAbY&mG zzb<%3UyS5@W^Zk0Uzq(_z?(vd86X3)#%QSn5X8&uC?f`9Fpqd|0)1@|6z$AFyvJwn z%w`{&hy(-ECVHl8Wr=v1M|BlZI|hS=8%VaNh&~vM7nsmP2+>2(j|-DLR7)SiLRc!6 zgBA^ysU~|CX-9{mF;Dfjh`9O=y6}i8o@F#<7=|)opb)&nq%hS}L92(M4Abby;dlW# znmQbV0go64diCDH++Q+M+KJ~It@B8{#AhDHW=loH;_fIR<*3-pcHE-jydbD@ki-mUKF! zJ0{62v#nZA5?b=*W{5P4l99v9$z^-mECqW5@V<6=9P~Qo$P|w2>STd8tTAUCQKEA%YpG+$n)&ijyll8$P}+oT24f}Xr|22`NXl`UzJ+PLM!k1d-o9k_+_vY67a-aBeg>OxrufW?v@6D_C0wy;(J=mHm_(3-sU}7)SsT5B935z zuvbr&_TnM*@l?_EWrE)}P4XopsXj;W<$~XpgFZYDP0|{9@HJ1rjHUmCj48lUXa0aSSrVW{i4!|NhcNSvUT2_nTs#&n{ z$v)~sr_Dx7+HE$T$6{)o4I9ci#Av!)r0@%0!HP(kgG6p$Vy2aIm}RNfIu8}V$Mj%6 z6qdD8WWAk_RBl`5q{HW;2%pevbI}gVskso%@G0$DfM>9R4lQ8hXOdhg$yIc70lHwd zBtMtr8v1%6dSk65D z7{O3D`&zirJn0nmUTn7Mti|KC0%UCiGY_OS_rgDMn1l7QJ^< zlR(j(3G~%sGz+X@jL6xmMCSa)b6CqQK^$+N8lh~ZU^m=Awt6no$oc4wZFKZ}tiW~} zxPUEv2TfRjQhckOUw{bUJNn>5biz(rTgvuq7wuPy7_J+Z`y?GG3I057*dU7GF@@FQBTJ9~B4ZqLZkoGELwd~jO%Fx?C z?10|8vq_-tHUnWiwHWPq(FeUR(njSN9(G9Y-OUVV7zl&ATpA90-=NFO;ldHxd(f$J!_D7<6Rckku0aw{e%8B|Uade& zEpaUx0q1CH1xDjM-BG~~_5zo-;-Xfu4%2{N=xbl%9xlOG?^ zuf#z9NSznT-Su+imx+$Hslu~NxFm$0RZ_S@{OKeqTopn|op6-|(4A7aA%yc)V85mY z_J0XRTD}R-(ACv2X;~Y1BV5z+Ho~dH^^$5<^9`C_&5r(edZU`%s6XWB=98e&xJ5%Y zV+U^2eVf@&)E)Zl77SsP-4gRSx5#6^OFC;cTQGtDjNcQ=D_b#zS8$KKO_yy&H{7R} nwqgSQq=UDyf2lsuvbLcn5D#gG-G~W%r1w7LVOsKTG&lSYh?A5V delta 2956 zcmY+Edr(yO703DRvh1>GH3}%A<270zB*f>CXpO=80wsjOM{3lVb-Cgy++B7TBO1m1 z8I6i4y66$#4-`?sAnFw#C?KLD3Rtz;bb=;L$8?<5cA8G7)5p1YQNv%qbI#|S^ZWhI z?|biyM)QA8zTOhF`mQwj3MCN{uE*est z(gNA$)yc9~p$vy2>!BPNq`_i2LTyWJjttv^3|Xu|Shn)o{Q`!!C9x6~x11p_wJBb) z3RZ{vm6xmY)v(&^cG=@`Xf1r`laXA&QO_8119d$|5C4;8ktVA+j8XnQIinVcVqF#~*`7s`*U1_>oHgqd6`#ocqCDZ;0&9`D~@a9k;Do3JFR=u zXcZ@E|J&$iEJIKKiw!*nSVcJ^wazc~AUR!HHxJjG(gyQ&K*VNIp?RmNAQrtxRl>?O z3!T|Y8t0t}D4O+R*QO@U!pdi4G27C4yyvt!6;b|!+oPt4^O{$s7o^*k%2KwA8(h%+ zRN0dq_{uJ7Ntb|e;xai`pRev|N*@=Q{rzwLQb)gT!^6)c5j_UmF=Z zpDRU!Mu*k*(C7`FHbnWesv^R4dQ;mo!rwABLgTk|eltq67)p8ksDo>u0!b>2uR zBhbenn#ef<146|eJ=)aI->IgqDGIzIO7;m*Y>~oprEs&USH3N27Ohaz>IXIy8tAc|yT+tpAn0Qmqp3(nf2xzYf3JmFa7sFJN=H{v`6BN6&qbI)sSdVA zEkQRbb6`CdQ`}B`c~95-)$!;RjcKYNg?dc0@~hN6zofyqE0uHZ8kuRvZf#jWN> z>sG@~e_f5ms@;cQo9X0w#B~b~(Vt*yh;MDQnYCkSqu>pQqX`@M>~q=rSw{ZLj58aM zO13;iQ_M!JgHx^8h&Mrx^Dt2zxCxU$?tDz6`g}fl>1KY@e{AMSS8rjms#_vL!kxuO8bDH*H2|ib|_Mrm(mYIBK+pj$GwaK?XtKTgM zH1z<|spB8R3OL>!jW_(SN0734gO2z)`6T5x3`xGE6LrRYP5$Lc`P7YGW62xE|Z7$&%`RQT_&)9Md?}8?mVm2Ks z#ds9bkEJNZb}Bs1x7b1Vk7GAT%{qZdU?;6ViC>|JhL!R4chTdM+;4Zl+e6W1?Cqt= zWt_5)L>Y>(pL&;b{{!SK=YoTDx0I)Mh&Gk;TZ}l(&t*KtM>(txIfbc4OR>p!Gu&eM ziNtAEUCn8HY&l}`HF4-E4XWhO!b-Sslpa>%4xK#1zlOh^!EdSQEX#c2Iru|RLchC& zi8w}mE@NnqQj_mdxK-Emh!EeS=rGoFusE*TFZ0!Kf{Lqo^OJO|8WO#z#%y)^6=WHx zu?C;1qiXREP)7DTe2Q|at>ZbKqT#jZOO|W=24AaX*RTqxAX_~mX#912kJB`;fm?mp z!1d=EkZh!|M#M$E{H#pAXW?c;TZr#jv_<>6p3vfs_;~<7e~E8)#4iH)#Y;T+HVb`v z6MCz)x3$-)R3A0LZNM2yYUb0PrK)CrkaIM=nTM_hyz`XO#8)u3@RwCZziGjBT%f+K zNWeu})QVYD--=XKx`#yu+H#*29{zx5b%|y^;1B0A)jWWNYHbNue|ms23$9S{x4g?$ K^})A@4*5TJB>@ls diff --git a/inform7/Internal/Inter/DialogueKit/arch-32.interb b/inform7/Internal/Inter/DialogueKit/arch-32.interb index 1e17c38987ac567b96bc3562d0aed341e5b3b5c4..54f5c1e517675b1c5aeb7591289f446f2a64992c 100644 GIT binary patch delta 4411 zcmZu#X?RoB7R^eVq)jQ4w8(tHheEOP5T8nsK`BasG6^U%DGeBClai!B%g|(BK&n9i!uPf=<#Y`iV|cF`c2abdJu`1-eL==x4f2 zzt9!BO4sOD`i*|4>vV%|(jRn-ZquK1hwjonx=#=2FM3FibdwIc) z=q-Kpg=)vWVkWu`PO?SBmX_N!l zDS(Qlw8K5Xsd)x!6ogH4x>KF0b|RHUS1?w=xKN{HUfFmB?<<&~AXh+j`T((UadDa} zJ;USkxl+=yv^39fjZ^fY@_nQrPr=6uCMuYuAYVWgnvADJDz`^-4Dh>#x%^o&Pbd`Z z(!@+t1b{+;E(vBTP#jY*O~G^lZ_*4z@})WkIb3c#%|sbK@cBI%n!}%<(JYh`;y}OC zD_Kqz${pj|Aa+ZdW}`;vlm6Ai?DPqLO9`d|M2bPRL2(i|yiPWl{CneNizBAB1o=nF)6 zooUWt4!55c!|w7v9xajjQrH~>1~}!uJLyZ5ak>97UM4-u5t*Ty!I?@$VwRNB@d|1A z3XxvtfK0EKZvd@CwAZGCXd-!|n8B`p7_Zru(178idtPu+v(;GL6=W zWxhu1VQHV#p|wUELMQrGF zdJNzGZ6cAGwhQP+JAhua6BaE#zPU!bfd9~L*cy19{!Fi%zJ|G19PI)6&^JH>`WDdW zJD@%71sc*mG5nsFHoPzW0gobKi7x?Zh%Z;r|7sXy(`u8*Q zv(PT1N~lp@6*K*!5?oPmRlzj{zY1tfzroJy9O%h(r}8p>SEq*e-T=QdRio>$^TqK4 z;~2zW(hZUPCJWR?^#*^4=UdV~$T7^RWoGd3+j88+>C04Kpg)D_j+*bT02|!{n$vyu zU2QyH^#NiuhdWiv@bXsH)a!hqzTHlLv5IwYGv;AnA@S&VD>V2kZO{YDDN}VdJAlbx_Tw^Y6RK6vH~# zL5!W}2$y0Q>r@9-ZMsE@AxG1gD6UzhW~m~^kus%C%-(5ZRiQ>m)mA-})A8vjsYZ(E z8lk|28bY^AJ+ihKtLP{Zy=>dlLMV7RqD5Gf zN5zj?noMhSNL;IjNnEE}B(B$O5;y3P5;y8m5;y75#^`$3P(Fv>ei8K07FG1>I_?@gN?{)BX{MB>+}O}9QFoiCC2E!&mC72ETrao(TEI<}X5Bgt1vMsLAq zwl&g|;Rxda|C7SLPAXtnKO?F$B1M@^R74ka6A{CQ7z4Y2iHV!>iSKtsl9kgV0%MKW zyP>`acwGhz?hYPlrL4ddHm5tDh^6#IA;uK+!n4RRp6i8fKtPNlf|g)6 z>0Z!Vxhb&CIMW;T#Kb-L#J0X@D$?tLZ&-`I=*-jikpYwXswIpK9AvxtVkq}Jxc`6s z)T84cd561__L>DY(?#PsmDMQo_ei&F|oF0OY!?}_rmGlg}C1O7c z>|sS27|4auQm8x>gN2Y6IAn|&iqtn!$&wFbvkv+?sC zQOrr{i^@{IiNUItU~Z`|_pvWm`ra<_O$yeq1oKLLd5?X0(l;{;T|`Cs!P@M#k*LWc zvN0Z$jfvT)BQ_&nx{5}sV;Xvs<&Q#)VH<_UVq>TB9ei~(l0{2S>Bwlbd=JxQOv)G) zGqpRLKSnlvq2%AoQG7vfwkSvPb0zN%pqib3S6Qh}{?D7m8f0$R%t*K3Za_BEMAR zGS+!A8nW=osD|ZADN@P`p|r(Uid?D4Rm%QA*jFpXP|6x3DFptDYAuVMiuSzRwX)ol zsYn#>eqCT9n?DsvSkJCaPBp}1UJeY zR|`~*ZGodkJVS!$nXOV8G!6V%TWNdX65BWpiP*u+)3FFU*^=pesk_*<>6nS#M(zy$ zV;o6#LkW zS(uLR*-M3ZrOAE~5mA~hQl>i)7Tj5p*ZD8fLC(tR3k%h`_#s$WL+$%tHt0xM3kIg5EIr`UzXXju6tOYm4F z``?Q@RxL^{?zGWh3Ey56v$UnCTkni8sSRyh`p7>l$K>9cz)3mVilypxoehSJh%ez6 zSIa5h`q|5oBC0qST+Z@Wpq6oGIVu9@S=0*j#RWEa1@DoILfVc?Mz^mp2Kbr%cO^em zmsjFEF0*~B^esSIEr1UyJHoxGaS$CBjt- zV>Q=F;hGc@)~SeV6wcC>a9s+y>%c$JR{rk<8+&atues`a(PO_EjW)n*#_w#wCSLS) zR$()5oExn77JfQ!s?i@Jz=vCG`DX0GZ8m%h+Tc&NWed9Vf^Nz5v0GJd+@WTMb1R03 uE8(tG_HV~1G4WkWW=T8H3iph>9cU7X`)v1K#6>)?1pg97 delta 2989 zcmZWpc~n&A73ViB1A|0E1sBk~q>Zh(FGpiisfijz3F21c?#MiF6yA)ppooI=8H~G< zQLbRz7jQ-6^4tK~MA^jJ;~zQ6DT%S|F+FKL?MaVqPt*Io!G+VmzI%Va``!E9-@Wgx zZ!vE#Gw=E;M6`_OEchA=qh2& zp)6Ic9s^qa0?M>&ssZJ|r2I;(L4-BiYR|MT%~VA$BE8y6cP&f<6|oNCE;Tb>&9-VD zkq3+2#csgMHv-nfVogg^-EOB$4{P?G@kuk(l5m86DE#Nnwc~$Mb6NZ<5U&#nPmKkmGaHj>{-rCHA~ezs!hpf z$Rc(F^Ti&7DuV_kDxwJZg&>#*xKxkVrHQ>T%})@;z(P?13=sPOMSKn~2MzL`bI9 z?GdM>Zi+Y!|9=bK3blwc=<6T0vF})M7CkuuZ_?`=MVLd6P1WjteQ=6x_D`o z!0-n5w^&3KRS(7AlW&DY1&OMNY^%#IKMS|0Hnj%@McX>2wAk)mY-KgG)p0?hCPdr{ z64kN)PcG*BqPA!_`h<%*BdV6#haxUi)N?w&^;!=L-@k1z_4LzF^frqISt!Pju`!X% z-7&a^Ueb>+(%qFen=5)tw$Whk#`{|%%Y}iNIR;7f`Sa{n+~d0 z)R{$HC+gb{s$SeSi`$*3M;%myxMLP~I#J(sP_3 zRX11s_ z2_xxI5(ZPxDVRjLQ_)v1n1a40I+ct``mddr1hv}`L7P1BcS z6PDAL%Q<$1jun^(~ z-7N5dnNl}nR5a@^mupIxufPyJyq(HAolHv(7;35Zcs9W#97w-TbT3U<*@)xsEX(foKw@X+@FHJ3hhHQBx=--vV z7EIF)@C#2KVC`4wF$cka*VWW;2nzjh2pP2SFba`Fe?5#*SVPvM=#5-@{|I8RmgXE` za9se*3xN4lbp+$EJ^=axprBz#F$Eg};3omFK!QW)tD}g+#sKqafY}saK0e0G<^Z!L zz--lzA44;+ja(;?O!X&Ns_0UDi0w4Dls9MxtuMt8?4Z|A;Zp<`^5=*%mKP@g}MbDIBH0a4m%%$X0cptlI$0?k|9(w0Aw^Kwpr?C&D$DBbd zu$Km&#d|2GZs%Bs63RP^1ndiVpHr3e_R|v?a)A1sLop80t#cUN<4~xtzN7l^FkgLK z1b@aM;xN5d&JQ_4L(6#(Tg$onQN5)cQ-Z^fh5GJx4>$ct{Vrk@sTVOP{CKFZg`sb$ zNkW!OaN-2zUP3FSUgp0>(PjLeR$k#P|MM02!%#|VD=-0NR9(TsoTR4}{Nhu(xstoW zX_{QcOL2y@DjxTqD$LdYS%sA*a@1f6?W#k({*M|&182!xhebF?>2<7YIc={)EzaxH z>yZasptjq1hA*hGfwMeqz!H7l9i#+Pc@w|zhbHu**P7Y-sF|%SX`PW)b2D$_?l%4h z?7t6-p57vry{NBih0BCX^tU#ybeR_3XECqPH}_c~J>XrX!ZyUnTZ?dw{&OFT^``KWPgAedffvoGtr2Lyrx$la{s#?q4*&oF diff --git a/inform7/Internal/Inter/DialogueKit/arch-32d.interb b/inform7/Internal/Inter/DialogueKit/arch-32d.interb index a9c407b83714d30c77b9ff8d2f74d343e328e02c..f21320b6590b2579c5aaf5529463e9c3541e8521 100644 GIT binary patch delta 4449 zcmY*dX?Rq{63v-pmP`l%Wf4f=21FqmdH7s|ASxnZhbN$dz=RAK36o@I!VZ~R7X(z+ zA!&9bf`W(w3YQ(C;*QFuD4<~%6)`F(F8Hdu10z53RrNWis=BMYZzm~V=`P*lo^>R_ zs$r$9t8C&dp}n+^_R|46NT1UebcnvBujnuxp`-LQeM6OWjE>U@I!UMKG@YSu=`4Lm z-_s9tj(()`^b=Ll&-4rZN*CxN{YJmjCHjN@q`&BIx=jCAaTY9x6>lY2PRnJvEsvFG zd95TapKR5PcT`#qm+f#NyMDA+PG+=Ltr`v*9_^WPn|AYK?ueKhh`9wZw=m`w#oS=b z4Mlt1ep_@$<3tCAV{UQG9T{^+#oW;`cTCJ3d&LDsi@LPZX~$my9W>#J+khsbW@e_* z(;pmK5FC*g7-moj;H8N0rJ-&O6K=yF$o1!XiLS&r$cnj>V(w&i?{?5L+Dy@Asy5T4 zNu_5|FC!zv$Qw~u5DMkx0ZDwgRTbnt;NJl+HqZK{x zbrDTtY9wVYHx^k?0%g%gguWtVLp>J_`O^S|_XI#K!BD zd_L2d}WiR3^*ATtJRP@0WM3KbRv!oGmNpg2S}l8XcX1~wtSWtNvV^U4g` zBA1y(TjA`Q-Th&Mw#oCi9qxxZ^~ipR$2;VBCu(p8*qSFC0&LX&>rGeWUB~w(plU66gT#h^CIY<2pL1PEpU| z&{IaZfRD`QlCMo)AW7aVK3fVsB+={XOC(=8fBHCmC2-0^+}J_Kb+QxEB+yCR5G|Tk zpQn6EARP@{r)9&=sGH;ZR{Cefed$QWcRK8QZGO<^oHjp7b0?jLmnSx~pg54rb$`+q zhmYHkus_$JDtLJ{d}n;acwc^&seeI(XnJXbTj^Ihx}XAv`9}JU;zCYvQH^{1L&f@| z^P3d?t}9-WCXxOC+R>kA8EyAM%SQAU>KeX4u2C4|6Kv>>ysf3zOMi>hrugN$%L&PG z^mpP&UocNzkr4eO(wm`y$BIj!%W*t1nxekjf}=7nu3ofaQax|`ILje93nJ1Cjrbi9 zi<=?UVKYK7np4E+wH76>)KKiaMq#`7*jK$2HXPYKk9Bx0P@Wb)uT$chqgUuAxp=Q~bO> z%0Jg|r>Z%AcHNfu8tQa4#jmm3s!>frP4P@M#gDYxswvX5(81|)(bF|WP8Qlbou=F6 z5-()o4v7xGPVCKs!Duq0=d02D#=>ZW){NF>v_~6cOYMlJV%2>}w>P#yV+V6}ncLS^ zbAe3zhITjsh8r+^>3$6tw6pJTkM(dn%`}%QE>2WEfJbDm!cO*`4J794QuS6(0E;SM6V ztHN6=yk$2Gka%%t@l!V#c6m2E5YJI}D)vNA9W`>0ZS+E-oa?HX`hs`f&)S z;vAyeV>;Ei$PzpH7_OJ8x~Yhl9!Gl_F+Q?FoOm4lnCPX5tS2-vA+k=?8i2au`6nDBmiv{waIkLSGyUx~gW;6v^H{#tr}t?} zj(y&z;Smh~oTIZ$&9m5MU2H`8qBG^fRM)2`~KDcw`mx>;tL)0`gD zO~0y}u5@e1ptqdXY%^W-8i&RrZ7iO_9DDg#G?g8htyJ%h)0a2e(RPnVC)wCi?qIhG z=q69*+#W(qQ2Q`n$wy7p^3uLy(?oRv7At&0iN?zYh#e&gU#4&?f-W+T$gw|)V4F<8 zg40hXoqpa3`%}^zv`XoJv~-^N#dfPn=m#tiQzm0977E8R=ZBwHjQf!SxbsB}(U@5#G?uo0{36 znc7iiHfqM!%qDwa6#UE6W^qd?y7F{4tLcs^MF+Y2TOu>Xrcz{kwmQx2b-a!fVsIHZ ze4B`rp^j)<#`(63$IJL??~qU{>=bLukbzy|a2c9=DmY5zgV6cjiX5^Z6v&b%s6sL0 z=Yem!i{6f$5&PzGd*2cD=VKLii;DTY?01D@0T$vtd&vU+djao@4GYl*ABd`j+^-LX zdl47=NRK`io#beb2rXjCC*t)*n2%3I_F~+P&jkB*u~+;x4-Gy0oaSy-eEnj5$oHGI z1(tB}19rzH=p3JN&}n{9$K$xjMhWLF!(DQgpPM&}kCx$ylrNm-9u^#DqXe1dT5!m` zT?{Tq2w#c=<@kh`xmWS!FQTnX@RiwC9C;C6FmX^3Z!AYZ5{J#6cHK`cHX`YLz&uR6!tLk$qd5>!OIZAMvRWW&$mRDVs zS1I|DE#S|zi~qUc7QJ?&iQQ_eJXGiHj@uA);3u(p2REfkRPNw&^s^}1#aHteJ^ED! zggh6V<^{e6=fwS6(NLV;i50jgp5KK|p5G+nar`DCJGpyRyO6~#xS-13QlU@T@6^^F zTY=&7xwxd5Q*UFuoX{oeCI-HPhwz78{toU<#Gm5G9%Oj_a+-fjq3!+zja>f+Lu;l7 delta 2896 zcmZuydr*|u73a4+78ZOfRw44))EZGlNk?m(8XYl;8hoZsqDEKtLsyq?*L|RfihDI0 zks!F@QH+X06vg-J^Tiu{f{I$JopeM^(@rOyG@Z7SI@8*7zojwG^soCnzu&p%o_o)4 zzb$DsAE-1JeHkWhi?&nk3E}H=BlO$|Ozl0Rb5fErU3F(V-C1^Ru_BfM7U4kmSF}~j zP!(sUBC=psRL!Q^EJ8zUVq&6V&vH3E9{VCkj^c2xP?!=<`p*Ewj&~u7D_9p>?V3d_ zM+^rZuhXSiz3(W(jV@Bm^r~)yWy%BD2v?U)7)f6G`#>o0(TumyBQG00{I#{e38LDw*RrnEO*M1ZWBWFFLT*3ELZDNBw=2iHK zk+Bg`ZZ*T_cJp1uCUnxATGA`xQzK_HqCGCB=Cx|7)8`R$^3lns{g~N;&_VB5L;+t- z5uYJ^YFhdPMHI^EZH0OKq-km6IWCfMF}kHr7@syPZCbjVqc_K;Dq@>d0+f}AK6%@L zxuOK&N>b7oMeG26CJ5%iZq@5^Yhou%a}&ibV7@2?28-Q*B0dMEiakKG*ek<*+(xb_ z1J;QBFwM7!1L!?B)y}u_I^~S58Oszk%jL~ssT{wMGkc}d#laXjyuvWabf0I5;&rkk zzCbiPVmf=q2oIu@^o%rA&>x2gN$3$@iAYUd=~e6=MI1($!|L&hBl2w&QANX&Fd+0O z`UOUB>}L|k=&wnL!*Plkiarr1=%XwWjuv5c+O7dehg#@ThxT zSf>zC8SXj_ME7I<8ob=Szcqu0ER zcSA?jx4#@45^_N_8tX;TY^;~WHDkRjZW!woam!e%MXRyah&%d-k+{}1uU6DWiaK*> zJ7k>&qFywZMMIaccGQQ2gc#D(4yjQznMKoU(z6b!SzI-XtFKAVJEUvkx>;O*P5P=s zx*=}PHjA6DX@BU@ZiyDNXn9Tgx-_8&o6F_h}ePNs}>=il(5SUOE~5OjJ7+6ZOvTqaE~*bcU(Za02vVI>WRXh%wQ; zSxDDU&q8S^&6l)hccSYM1Rtt(R?Rg_^1=Vry>_8 zK61eXdb*t9Ah(1m9zNCYK|H9!i#&aZ53OO)^cOh@H&MiD?r#2SYzd=p*KwhD{m7uh zes0FQ3ElOf0>jLtZpH|z*o^K}&8U0N2xB0VBmA4=%sc!+| z=y^Ui!l^Iaf}er{1xVGO6kq~qa3QA9+Cpx4(N>=1@vZ!{D~cF37BMO)#uWWYF)o7k z1sMJ!rQGSm<_n-{0^+bavDyU8R-u9AL|)o`i81^K%pwaHhA~hm zawzNoMqm{cm!mIoX;?XWVKq4nkx5^ztx1B&aEOYlk%~%sSdHY~ zhr|7kds_b2)Z@5lqp2f$d<|b5RW!dA1NtA8Nijy!+pi$o{c&6;`QZc|sl|tSOdXb+ zXhQ=Q>VIj#P~aHFH{oOc!_95t0i2*eHz0xPn^1w1dS)}$0;g!)RrI14SMe22)01oL zvxw`w-+3MBq11GXFBIB>KJ;b_qeT)4iRvYK+QJ4dYeT%A+bZXBM&EWDZWGQ@cpD#g zj)t`HD9%&$J$9)cj4sfgHh6H6y4}N7T%t$!FbS8b|9$+L*51cV{qcP)G0~)l?B~{p a`~+9@&cDT|C{)v=cCJ>VuWCnJ#D4+NUh!Q3